diff --git a/src/main/scala/vexriscv/plugin/DBusCachedPlugin.scala b/src/main/scala/vexriscv/plugin/DBusCachedPlugin.scala index e32ff96..6f85652 100644 --- a/src/main/scala/vexriscv/plugin/DBusCachedPlugin.scala +++ b/src/main/scala/vexriscv/plugin/DBusCachedPlugin.scala @@ -680,7 +680,8 @@ class IBusDBusCachedTightlyCoupledRam(mapping : SizeMapping, withIBus : Boolean address = (dbus.address >> 2).resized, data = dbus.write_data, enable = dbus.enable, - write = dbus.write_enable + write = dbus.write_enable, + mask = dbus.write_mask ) } val i = withIBus generate new Area {