diff --git a/scripts/Murax/arty_a7/make_vivado_project b/scripts/Murax/arty_a7/make_vivado_project index 4a92510..eb0f5a9 100755 --- a/scripts/Murax/arty_a7/make_vivado_project +++ b/scripts/Murax/arty_a7/make_vivado_project @@ -3,7 +3,7 @@ #cannot rm build because it erase software images that the make file copy there #rm -rf ./build -mkdir ./build +mkdir -p ./build cd ./build vivado -mode batch -source ../make_vivado_project.tcl -notrace diff --git a/scripts/Murax/arty_a7/soc_mmi.tcl b/scripts/Murax/arty_a7/soc_mmi.tcl index 33ea686..2689dad 100644 --- a/scripts/Murax/arty_a7/soc_mmi.tcl +++ b/scripts/Murax/arty_a7/soc_mmi.tcl @@ -21,7 +21,7 @@ proc swap_bits { bit } { # open_run impl_1 # Find all the RAMs, place in a list -set rams [get_cells -hier -regexp {.*core/system_ram/.*} -filter {REF_NAME =~ RAMB36E1}] +set rams [get_cells -hier -regexp {.*core/system_ram/.*} -filter {REF_NAME == RAMB36E1 || REF_NAME == RAMB18E1}] puts "[llength $rams] RAMs in total" foreach m $rams {puts $m} @@ -89,7 +89,7 @@ set i 0 foreach ram $rams { # Get the RAM location set loc_val [get_property LOC [get_cells $ram]] - regexp -- {(RAMB36_)([0-9XY]+)} $loc_val full ram_name loc_xy + regexp {(RAMB.+_)([0-9XY]+)} $loc_val full ram_name loc_xy set memi [dict create ram $ram loc $loc_xy]