diff --git a/build.sbt b/build.sbt index c1e5a7d..ae96f2d 100644 --- a/build.sbt +++ b/build.sbt @@ -9,7 +9,7 @@ scalaVersion := "2.11.8" EclipseKeys.withSource := true libraryDependencies ++= Seq( - "com.github.spinalhdl" % "spinalhdl-core_2.11" % "0.11.3", - "com.github.spinalhdl" % "spinalhdl-lib_2.11" % "0.11.3", + "com.github.spinalhdl" % "spinalhdl-core_2.11" % "0.11.4", + "com.github.spinalhdl" % "spinalhdl-lib_2.11" % "0.11.4", "org.yaml" % "snakeyaml" % "1.8" ) diff --git a/src/main/scala/vexriscv/Riscv.scala b/src/main/scala/vexriscv/Riscv.scala index 9c83033..85a753e 100644 --- a/src/main/scala/vexriscv/Riscv.scala +++ b/src/main/scala/vexriscv/Riscv.scala @@ -14,7 +14,7 @@ object Riscv{ case class IMM(instruction : Bits) extends Area{ // immediates def i = instruction(31 downto 20) - def s = instruction(31, 25) ## instruction(11, 7) + def s = instruction(31 downto 25) ## instruction(11 downto 7) def b = instruction(31) ## instruction(7) ## instruction(30 downto 25) ## instruction(11 downto 8) def u = instruction(31 downto 12) ## U"x000" def j = instruction(31) ## instruction(19 downto 12) ## instruction(20) ## instruction(30 downto 21)