#!/bin/sh cd ./build vivado -mode batch -source ../open_vivado_project.tcl -notrace