readme: Add a200T package installation

Signed-off-by: Robert Winkler <rwinkler@antmicro.com>

readme: Add instructions for counter on Nexys Video

Signed-off-by: Robert Winkler <rwinkler@antmicro.com>

xc: Add counter example for Nexys Video

Signed-off-by: Robert Winkler <rwinkler@antmicro.com>
This commit is contained in:
Robert Winkler 2020-11-30 11:46:40 +01:00 committed by Adam Olech
parent 82dbf21b4d
commit 14e8de92c7
4 changed files with 28 additions and 0 deletions

View File

@ -8,6 +8,7 @@ full_name_lut = {
'basys3': 'Basys 3',
'eos_s3': 'EOS S3',
'zybo': 'Zybo Z7',
'nexys_video': 'Nexys Video',
}
families = ('xc7', 'eos-s3')
inlines = ('literal', 'strong', 'reference')

View File

@ -22,6 +22,11 @@ else ifeq ($(TARGET),zybo)
BITSTREAM_DEVICE:= zynq7
BOARD_BUILDDIR := ${BUILDDIR}/zybo
VERILOG:=${current_dir}/counter_zynq.v
else ifeq ($(TARGET),nexys_video)
PARTNAME:= xc7a200tsbg484-1
XDC:=${current_dir}/nexys_video.xdc
DEVICE:= xc7a200t_test
BOARD_BUILDDIR := ${BUILDDIR}/nexys_video
else
PARTNAME:= xc7a35tcpg236-1
XDC:=${current_dir}/basys3.xdc

View File

@ -22,6 +22,12 @@ counter example, depending on your hardware, run:
TARGET="basys3" make -C counter_test
.. code-block:: bash
:name: example-counter-nexys_video-group
TARGET="nexys_video" make -C counter_test
.. code-block:: bash
:name: example-counter-zybo-group

View File

@ -0,0 +1,16 @@
# Clock pin
set_property LOC R4 [get_ports {clk}]
set_property IOSTANDARD LVCMOS33 [get_ports {clk}]
# LEDs
set_property LOC T14 [get_ports {led[0]}]
set_property LOC T15 [get_ports {led[1]}]
set_property LOC T16 [get_ports {led[2]}]
set_property LOC U16 [get_ports {led[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[3]}]
# Clock constraints
create_clock -period 8.0 [get_ports {clk}]