From 3603510f4348fd4359cacc37350843407e802eed Mon Sep 17 00:00:00 2001 From: Alessandro Comodi Date: Tue, 7 Jul 2020 16:31:50 +0200 Subject: [PATCH] xc7: fix yosys version Signed-off-by: Alessandro Comodi --- examples/xc7/environment.yml | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/examples/xc7/environment.yml b/examples/xc7/environment.yml index 4b0db61..d00ac83 100644 --- a/examples/xc7/environment.yml +++ b/examples/xc7/environment.yml @@ -2,7 +2,7 @@ name: xc7 channels: - symbiflow dependencies: - - symbiflow::symbiflow-yosys + - symbiflow::symbiflow-yosys=0.8_3925_g6bccd35a - symbiflow::symbiflow-yosys-plugins=1.0.0.7_0032_g104f4fc - symbiflow::symbiflow-vtr=8.0.0.rc2_3575_g253f75b6d - make