From 3aece880b7f2750da6f0d5f46f3016cc2adb3e08 Mon Sep 17 00:00:00 2001 From: Tim Callahan Date: Thu, 17 Sep 2020 16:25:55 -0700 Subject: [PATCH] Update yosys plugins package version to match symbiflow-arch-defs. Signed-off-by: Tim Callahan --- xc7/environment.yml | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/xc7/environment.yml b/xc7/environment.yml index ff52b3f..79ece8e 100644 --- a/xc7/environment.yml +++ b/xc7/environment.yml @@ -2,8 +2,8 @@ name: xc7 channels: - symbiflow dependencies: - - symbiflow::symbiflow-yosys=0.8_6021_gd8b2d1a2 - - symbiflow::symbiflow-yosys-plugins=1.0.0.7_0117_g160b309 + - symbiflow::symbiflow-yosys=0.8_6021_gd8b2d1a2=20200708_083630 + - symbiflow::symbiflow-yosys-plugins=1.0.0.7_0060_g7454cd6=20200902_114536 - symbiflow::symbiflow-vtr=8.0.0.rc2_4003_g8980e4621 - symbiflow::prjxray-db=0.0_0230_g485a837 - symbiflow::prjxray-tools