From 9b4c9d2b934e5473a08c50893459e54206e4169a Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Pawe=C5=82=20Czarnecki?= Date: Tue, 24 May 2022 15:42:26 +0200 Subject: [PATCH] eos-s3: bump yosys, plugins and vtr MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit Signed-off-by: Paweł Czarnecki --- eos-s3/environment.yml | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/eos-s3/environment.yml b/eos-s3/environment.yml index 1e2afad..d751a2a 100644 --- a/eos-s3/environment.yml +++ b/eos-s3/environment.yml @@ -3,9 +3,9 @@ channels: - conda-forge - litex-hub dependencies: - - litex-hub::quicklogic-yosys=0.8.0_105_gd282be04=20210625_074838 - - litex-hub::quicklogic-yosys-plugins=1.2.0_11_g21045a9=20210625_074838 - - litex-hub::vtr-optimized=8.0.0_4023_ge73e88940=20210625_074838 + - litex-hub::yosys=0.15_51_g6318db615=20220317_162926_py37 + - litex-hub::symbiflow-yosys-plugins=1.0.0_7_832_ga2a80a1=20220317_162926 + - litex-hub::vtr-optimized=8.0.0_5338_g829c06d8f=20220409_131122 - make - lxml - simplejson