From a240eda9c72f5bf32adec733bb68f5633e38ef6d Mon Sep 17 00:00:00 2001 From: Tim 'mithro' Ansell Date: Wed, 29 Jul 2020 12:22:42 -0700 Subject: [PATCH] FIXME: WIP changes. Signed-off-by: Tim 'mithro' Ansell --- README.md | 7 +++++++ eos-s3/README.md | 11 +++++++++++ xc7/README.md | 11 +++++++++++ 3 files changed, 29 insertions(+) create mode 100644 eos-s3/README.md create mode 100644 xc7/README.md diff --git a/README.md b/README.md index e2b1d5f..84dc9ad 100644 --- a/README.md +++ b/README.md @@ -36,6 +36,8 @@ wget https://repo.continuum.io/miniconda/Miniconda3-latest-Linux-x86_64.sh -O co 2. Toolchain +FIXME: This should be included from xc7/README.md + For the Artix-7 devices: ```bash @@ -48,6 +50,8 @@ wget -qO- https://storage.googleapis.com/symbiflow-arch-defs/artifacts/prod/foss conda deactivate ``` +FIXME: This should be included from eos-s3/README.md + For the EOS S3 devices: ```bash @@ -66,6 +70,7 @@ The example designs are provided in separate directories: ### Example designs for the Artix-7 devices: + 1. `counter` - simple 4-bit counter driving LEDs. The design targets the [Basys3 board](https://store.digilentinc.com/basys-3-artix-7-fpga-trainer-board-recommended-for-introductory-users/) and the [Arty board](https://store.digilentinc.com/arty-a7-artix-7-fpga-development-board-for-makers-and-hobbyists/). 1. `picosoc` - [picorv32](https://github.com/cliffordwolf/picorv32) based SoC. The design targets the [Basys3 board](https://store.digilentinc.com/basys-3-artix-7-fpga-trainer-board-recommended-for-introductory-users/). 1. `linux_litex` - [LiteX](https://github.com/enjoy-digital/litex) based system with Linux capable [VexRiscv core](https://github.com/SpinalHDL/VexRiscv). The design includes [DDR](https://github.com/enjoy-digital/litedram) and [Ethernet](https://github.com/enjoy-digital/liteeth) controllers. The design targets the [Arty board](https://store.digilentinc.com/arty-a7-artix-7-fpga-development-board-for-makers-and-hobbyists/). @@ -103,6 +108,8 @@ pushd xc7/linux_litex_demo && make && popd ### Example design for the EOS S3 devices: +FIXME: This should be included from eos-s3/README.md + 1. `btn_counter` - simple 4-bit counter driving LEDs. The design targets the [EOS S3 FPGA](https://www.quicklogic.com/products/eos-s3/). To build the example, run the following commands: diff --git a/eos-s3/README.md b/eos-s3/README.md new file mode 100644 index 0000000..a2dc18a --- /dev/null +++ b/eos-s3/README.md @@ -0,0 +1,11 @@ +# SymbiFlow Toolchain Examples for QuickLogic EOS S3 + +FIXME: put stuff here + +## Setting up the toolchain + +FIXME: put stuff here + +## Building the examples + +FIXME: put stuff here diff --git a/xc7/README.md b/xc7/README.md new file mode 100644 index 0000000..a57036d --- /dev/null +++ b/xc7/README.md @@ -0,0 +1,11 @@ +# SymbiFlow Toolchain Examples for Xilinx 7 Series + +FIXME: put stuff here + +## Setting up the toolchain + +FIXME: put stuff here + +## Building the examples + +FIXME: put stuff here