From cebbdfc780234a3bf5f29aaf9ca53e63cb5ba703 Mon Sep 17 00:00:00 2001 From: Joshua Fife Date: Wed, 11 Aug 2021 21:29:42 -0600 Subject: [PATCH] fixed module parameters to conform to conventions Signed-off-by: Joshua Fife --- xc7/timer/clock.sv | 28 +++++++++---------- xc7/timer/timer.sv | 70 ++++++++++++++++++++++++++-------------------- 2 files changed, 54 insertions(+), 44 deletions(-) diff --git a/xc7/timer/clock.sv b/xc7/timer/clock.sv index bc14e3a..3ab6b7d 100644 --- a/xc7/timer/clock.sv +++ b/xc7/timer/clock.sv @@ -11,21 +11,21 @@ module top ( logic [15:0] digitData; timer TC0 ( - clk, - btnc, - sw, - digitData[3:0], - digitData[7:4], - digitData[11:8], - digitData[15:12] + .clk(clk), + .reset(btnc), + .run(sw), + .digit0(digitData[3:0]), + .digit1(digitData[7:4]), + .digit2(digitData[11:8]), + .digit3(digitData[15:12]) ); display_control SSC0 ( - clk, - btnc, - digitData, - 4'b1111, - 4'b0100, - anode, - segment + .clk(clk), + .reset(btnc), + .dataIn(digitData), + .digitDisplay(4'b1111), + .digitPoint(4'b0100), + .anode(anode), + .segment(segment) ); endmodule diff --git a/xc7/timer/timer.sv b/xc7/timer/timer.sv index 102a59a..3aa608f 100644 --- a/xc7/timer/timer.sv +++ b/xc7/timer/timer.sv @@ -14,40 +14,50 @@ module timer ( logic [23:0] timerCount; - modify_count #(10) M0 ( - clk, - reset, - inc0, - inc1, - digit0 + modify_count #( + .MOD_VALUE(10) + ) M0 ( + .clk(clk), + .reset(reset), + .increment(inc0), + .rolling_over(inc1), + .count(digit0) ); - modify_count #(10) M1 ( - clk, - reset, - inc1, - inc2, - digit1 + modify_count #( + .MOD_VALUE(10) + ) M1 ( + .clk(clk), + .reset(reset), + .increment(inc1), + .rolling_over(inc2), + .count(digit1) ); - modify_count #(10) M2 ( - clk, - reset, - inc2, - inc3, - digit2 + modify_count #( + .MOD_VALUE(10) + ) M2 ( + .clk(clk), + .reset(reset), + .increment(inc2), + .rolling_over(inc3), + .count(digit2) ); - modify_count #(6) M3 ( - clk, - reset, - inc3, - inc4, - digit3 + modify_count #( + .MOD_VALUE(6) + ) M3 ( + .clk(clk), + .reset(reset), + .increment(inc3), + .rolling_over(inc4), + .count(digit3) ); - time_counter #(1000000) T0 ( - clk, - reset, - run, - inc0, - timerCount + time_counter #( + .MOD_VALUE(1000000) + ) T0 ( + .clk(clk), + .reset(reset), + .increment(run), + .rolling_over(inc0), + .count(timerCount) ); endmodule