From cdfac6ca4e49f8a5cc7ac69622df7b01f7bb5918 Mon Sep 17 00:00:00 2001 From: Tim Callahan Date: Tue, 13 Oct 2020 16:21:28 -0700 Subject: [PATCH] Change arty_50 to arty_35 to match board branding. Signed-off-by: Tim Callahan --- README.rst | 2 +- xc7/README.rst | 6 +++--- xc7/counter_test/Makefile | 2 +- 3 files changed, 5 insertions(+), 5 deletions(-) diff --git a/README.rst b/README.rst index e605d2b..b6bc684 100644 --- a/README.rst +++ b/README.rst @@ -139,7 +139,7 @@ To build the counter example, run any or all of the following commands: .. code:: bash :name: xc7-counter - pushd xc7/counter_test && make clean && TARGET="arty_50" make && popd + pushd xc7/counter_test && make clean && TARGET="arty_35" make && popd pushd xc7/counter_test && make clean && TARGET="arty_100" make && popd pushd xc7/counter_test && make clean && TARGET="basys3" make && popd diff --git a/xc7/README.rst b/xc7/README.rst index 3c4758b..1864052 100644 --- a/xc7/README.rst +++ b/xc7/README.rst @@ -1,11 +1,11 @@ SymbiFlow Toolchain Examples for Xilinx 7 Series ================================================ -#. ``counter`` - simple 4-bit counter driving LEDs. The design targets the `Basys3 board `__ and the `Arty board `__. +#. ``counter`` - simple 4-bit counter driving LEDs. The design targets the `Basys3 board `__ and the `Arty boards `__. #. ``picosoc`` - `picorv32 `__ based SoC. The design targets the `Basys3 board `__. -#. ``linux_litex`` - `LiteX `__ based system with Linux capable `VexRiscv core `__. The design includes `DDR `__ and `Ethernet `__ controllers. The design targets the `Arty board `__. +#. ``linux_litex`` - `LiteX `__ based system with Linux capable `VexRiscv core `__. The design includes `DDR `__ and `Ethernet `__ controllers. The design targets the `Arty boards `__. The Linux images for the ``linux_litex`` example can be built following the `linux on litex vexriscv `__ instructions. The ``linux_litex`` example is already provided with working Linux images. @@ -72,7 +72,7 @@ To build the counter example, run any or all of the following commands: .. code:: bash :name: xc7-counter - pushd xc7/counter_test && make clean && TARGET="arty_50" make && popd + pushd xc7/counter_test && make clean && TARGET="arty_35" make && popd pushd xc7/counter_test && make clean && TARGET="arty_100" make && popd pushd xc7/counter_test && make clean && TARGET="basys3" make && popd diff --git a/xc7/counter_test/Makefile b/xc7/counter_test/Makefile index cf03dae..768ef4f 100644 --- a/xc7/counter_test/Makefile +++ b/xc7/counter_test/Makefile @@ -7,7 +7,7 @@ BITSTREAM_DEVICE := artix7 SDC:=${current_dir}/counter.sdc BUILDDIR:=build -ifeq ($(TARGET),arty_50) +ifeq ($(TARGET),arty_35) PARTNAME := xc7a35tcsg324-1 PCF:=${current_dir}/arty.pcf else ifeq ($(TARGET),arty_100)