SymbiFlow Toolchain Examples for QuickLogic EOS S3 ================================================== #. ``btn_counter`` - simple 4-bit counter driving LEDs. The design targets the `EOS S3 FPGA `__. Clone this repository --------------------- If you have not already done so, clone this repository and `cd` into it: .. code:: bash sudo apt install git git clone https://github.com/SymbiFlow/symbiflow-examples.git && cd symbiflow-examples Setting up the toolchain ------------------------ Choose the installation directory (see the `README <../README.rst>`_ one level up for details): .. code:: bash export INSTALL_DIR=~/opt/symbiflow # or somewhere else you choose .. toolchain_include_begin_label .. code:: bash :name: eoss3-setup-toolchain bash conda_installer.sh -b -p $INSTALL_DIR/eos-s3/conda source "$INSTALL_DIR/eos-s3/conda/etc/profile.d/conda.sh" conda env create -f eos-s3/environment.yml conda activate eos-s3 wget -qO- https://quicklogic-my.sharepoint.com/:u:/p/kkumar/EWuqtXJmalROpI2L5XeewMIBRYVCY8H4yc10nlli-Xq79g?download=1 | tar -xJ -C $INSTALL_DIR/eos-s3/ conda deactivate .. toolchain_include_end_label Building the examples --------------------- .. build_examples_include_begin_label Before building any example, set the installation directory to match what you set it to earlier, .. code:: bash export INSTALL_DIR=~/opt/symbiflow and prepare the environment: .. code:: bash :name: eoss3-prepare-env export PATH="$INSTALL_DIR/eos-s3/install/bin:$PATH" source "$INSTALL_DIR/eos-s3/conda/etc/profile.d/conda.sh" conda activate eos-s3 To build the example, run the following command: .. code:: bash :name: eoss3-counter pushd eos-s3/btn_counter && make && popd .. build_examples_include_end_label