create_clock -period 10 clk_bufg