From 9b01787554f76448bc1491629d451a3bacfdff18 Mon Sep 17 00:00:00 2001 From: Krzysztof Boronski Date: Fri, 20 May 2022 06:35:45 -0500 Subject: [PATCH] Add missing license headers Signed-off-by: Krzysztof Boronski --- f4pga/__init__.py | 19 +++++++++++++++++++ f4pga/argparser.py | 19 +++++++++++++++++++ f4pga/cache.py | 19 +++++++++++++++++++ f4pga/common.py | 19 +++++++++++++++++++ f4pga/common_modules/fasm.py | 19 +++++++++++++++++++ .../common_modules/generic_script_wrapper.py | 19 +++++++++++++++++++ f4pga/common_modules/io_rename.py | 19 +++++++++++++++++++ f4pga/common_modules/mkdirs.py | 19 +++++++++++++++++++ f4pga/common_modules/pack.py | 19 +++++++++++++++++++ f4pga/common_modules/place.py | 19 +++++++++++++++++++ f4pga/common_modules/place_constraints.py | 19 +++++++++++++++++++ f4pga/common_modules/route.py | 19 +++++++++++++++++++ f4pga/common_modules/synth.py | 19 +++++++++++++++++++ f4pga/flow_config.py | 19 +++++++++++++++++++ f4pga/module.py | 19 +++++++++++++++++++ f4pga/module_inspector.py | 19 +++++++++++++++++++ f4pga/module_runner.py | 19 +++++++++++++++++++ f4pga/setup.py | 3 +-- f4pga/stage.py | 19 +++++++++++++++++++ 19 files changed, 343 insertions(+), 2 deletions(-) diff --git a/f4pga/__init__.py b/f4pga/__init__.py index 530df05..fe6effb 100755 --- a/f4pga/__init__.py +++ b/f4pga/__init__.py @@ -1,3 +1,22 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2022 F4PGA Authors +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. +# +# SPDX-License-Identifier: Apache-2.0 + """ F4PGA Build System diff --git a/f4pga/argparser.py b/f4pga/argparser.py index 5c21db9..c73f0cd 100644 --- a/f4pga/argparser.py +++ b/f4pga/argparser.py @@ -1,3 +1,22 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2022 F4PGA Authors +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. +# +# SPDX-License-Identifier: Apache-2.0 + from argparse import ArgumentParser, Namespace from re import finditer as re_finditer diff --git a/f4pga/cache.py b/f4pga/cache.py index 78e4a7b..9054320 100755 --- a/f4pga/cache.py +++ b/f4pga/cache.py @@ -1,3 +1,22 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2022 F4PGA Authors +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. +# +# SPDX-License-Identifier: Apache-2.0 + from pathlib import Path from zlib import adler32 as zlib_adler32 from json import dump as json_dump, load as json_load, JSONDecodeError diff --git a/f4pga/common.py b/f4pga/common.py index 4510026..3b59575 100644 --- a/f4pga/common.py +++ b/f4pga/common.py @@ -1,3 +1,22 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2022 F4PGA Authors +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. +# +# SPDX-License-Identifier: Apache-2.0 + from pathlib import Path from os import environ, listdir as os_listdir from sys import argv as sys_argv diff --git a/f4pga/common_modules/fasm.py b/f4pga/common_modules/fasm.py index 35285bc..29cc27a 100644 --- a/f4pga/common_modules/fasm.py +++ b/f4pga/common_modules/fasm.py @@ -1,3 +1,22 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2022 F4PGA Authors +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. +# +# SPDX-License-Identifier: Apache-2.0 + from pathlib import Path from shutil import move as sh_mv diff --git a/f4pga/common_modules/generic_script_wrapper.py b/f4pga/common_modules/generic_script_wrapper.py index 6ad77c3..6ce69fc 100644 --- a/f4pga/common_modules/generic_script_wrapper.py +++ b/f4pga/common_modules/generic_script_wrapper.py @@ -1,3 +1,22 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2022 F4PGA Authors +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. +# +# SPDX-License-Identifier: Apache-2.0 + """ This module is intended for wrapping simple scripts without rewriting them as an sfbuild module. This is mostly to maintain compatibility with workflows diff --git a/f4pga/common_modules/io_rename.py b/f4pga/common_modules/io_rename.py index a8b6b86..a2cb396 100644 --- a/f4pga/common_modules/io_rename.py +++ b/f4pga/common_modules/io_rename.py @@ -1,3 +1,22 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2022 F4PGA Authors +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. +# +# SPDX-License-Identifier: Apache-2.0 + """ Rename (ie. change) dependencies and values of a module. This module wraps another, module whoose name is specified in `params.module` and changes the names of the diff --git a/f4pga/common_modules/mkdirs.py b/f4pga/common_modules/mkdirs.py index 0b796eb..ad3d6b8 100644 --- a/f4pga/common_modules/mkdirs.py +++ b/f4pga/common_modules/mkdirs.py @@ -1,3 +1,22 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2022 F4PGA Authors +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. +# +# SPDX-License-Identifier: Apache-2.0 + """ This module is used as a helper in a abuild chain to automate creating build directiores. It's currenty the only parametric module, meaning it can take user-provided input at an early stage in order to diff --git a/f4pga/common_modules/pack.py b/f4pga/common_modules/pack.py index 703513c..f49a28b 100644 --- a/f4pga/common_modules/pack.py +++ b/f4pga/common_modules/pack.py @@ -1,3 +1,22 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2022 F4PGA Authors +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. +# +# SPDX-License-Identifier: Apache-2.0 + from pathlib import Path from os import remove as os_remove from shutil import move as sh_mv diff --git a/f4pga/common_modules/place.py b/f4pga/common_modules/place.py index f7a078d..e60ae49 100644 --- a/f4pga/common_modules/place.py +++ b/f4pga/common_modules/place.py @@ -1,3 +1,22 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2022 F4PGA Authors +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. +# +# SPDX-License-Identifier: Apache-2.0 + from pathlib import Path import os from shutil import move as sh_mv diff --git a/f4pga/common_modules/place_constraints.py b/f4pga/common_modules/place_constraints.py index 2e38cc3..301e71e 100644 --- a/f4pga/common_modules/place_constraints.py +++ b/f4pga/common_modules/place_constraints.py @@ -1,3 +1,22 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2022 F4PGA Authors +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. +# +# SPDX-License-Identifier: Apache-2.0 + from pathlib import Path from f4pga.common import * from f4pga.module import Module, ModuleContext diff --git a/f4pga/common_modules/route.py b/f4pga/common_modules/route.py index 6fafa57..5a6fe3c 100644 --- a/f4pga/common_modules/route.py +++ b/f4pga/common_modules/route.py @@ -1,3 +1,22 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2022 F4PGA Authors +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. +# +# SPDX-License-Identifier: Apache-2.0 + from pathlib import Path from shutil import move as sh_mv diff --git a/f4pga/common_modules/synth.py b/f4pga/common_modules/synth.py index a40d4ab..b3d1943 100755 --- a/f4pga/common_modules/synth.py +++ b/f4pga/common_modules/synth.py @@ -1,3 +1,22 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2022 F4PGA Authors +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. +# +# SPDX-License-Identifier: Apache-2.0 + import os from f4pga.common import * from f4pga.module import Module, ModuleContext diff --git a/f4pga/flow_config.py b/f4pga/flow_config.py index 295bf36..b88a7a5 100644 --- a/f4pga/flow_config.py +++ b/f4pga/flow_config.py @@ -1,3 +1,22 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2022 F4PGA Authors +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. +# +# SPDX-License-Identifier: Apache-2.0 + from pathlib import Path from copy import copy from os import listdir as os_listdir diff --git a/f4pga/module.py b/f4pga/module.py index b8cb706..316a9eb 100644 --- a/f4pga/module.py +++ b/f4pga/module.py @@ -1,3 +1,22 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2022 F4PGA Authors +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. +# +# SPDX-License-Identifier: Apache-2.0 + """ Here are the things necessary to write an F4PGA Module. """ diff --git a/f4pga/module_inspector.py b/f4pga/module_inspector.py index b4f1bdd..6778862 100644 --- a/f4pga/module_inspector.py +++ b/f4pga/module_inspector.py @@ -1,3 +1,22 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2022 F4PGA Authors +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. +# +# SPDX-License-Identifier: Apache-2.0 + from f4pga.module import Module from f4pga.common import decompose_depname from colorama import Style diff --git a/f4pga/module_runner.py b/f4pga/module_runner.py index 8be7ccd..fd307a6 100644 --- a/f4pga/module_runner.py +++ b/f4pga/module_runner.py @@ -1,3 +1,22 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2022 F4PGA Authors +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. +# +# SPDX-License-Identifier: Apache-2.0 + """ Dynamically import and run F4PGA modules. """ diff --git a/f4pga/setup.py b/f4pga/setup.py index 320dceb..59c62d2 100644 --- a/f4pga/setup.py +++ b/f4pga/setup.py @@ -1,8 +1,7 @@ #!/usr/bin/env python3 -# # -*- coding: utf-8 -*- # -# Copyright (C) 2020-2022 F4PGA Authors. +# Copyright (C) 2022 F4PGA Authors. # # Licensed under the Apache License, Version 2.0 (the "License"); # you may not use this file except in compliance with the License. diff --git a/f4pga/stage.py b/f4pga/stage.py index 373087c..870199e 100644 --- a/f4pga/stage.py +++ b/f4pga/stage.py @@ -1,3 +1,22 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2022 F4PGA Authors +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. +# +# SPDX-License-Identifier: Apache-2.0 + from f4pga.common import decompose_depname, resolve_modstr from f4pga.module import Module from f4pga.module_runner import get_module, module_io