From 59c8424e5fc8cf039a2d136dd354b6da5cb23b87 Mon Sep 17 00:00:00 2001 From: Unai Martinez-Corral Date: Tue, 5 Apr 2022 13:21:12 +0200 Subject: [PATCH 1/2] docs/flows/f4pga: update yosys website URL Signed-off-by: Unai Martinez-Corral --- docs/flows/f4pga.rst | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/docs/flows/f4pga.rst b/docs/flows/f4pga.rst index e9cc622..13fa879 100644 --- a/docs/flows/f4pga.rst +++ b/docs/flows/f4pga.rst @@ -134,7 +134,7 @@ Technology mapping in F4PGA toolchain .. _Xilinx 7 Series FPGAs Clocking Resources User Guide: https://www.xilinx.com/support/documentation/user_guides/ug472_7Series_Clocking.pdf#page=38 .. _VTR FPGA Architecture Description: https://docs.verilogtorouting.org/en/latest/arch/ -.. _techmap section in the Yosys Manual: http://www.clifford.at/yosys/files/yosys_manual.pdf#page=153 +.. _techmap section in the Yosys Manual: http://yosyshq.net/yosys/files/yosys_manual.pdf#page=153 It is important to understand the connection between the synthesis and implementation tools used in the F4PGA toolchain. As mentioned before, @@ -405,8 +405,8 @@ More information ---------------- Additional information about Yosys can be found on the `Yosys Project Website -`_ , or in `Yosys Manual -`_. You can also compile +`_ , or in `Yosys Manual +`_. You can also compile one of the tests described in Getting Started section and watch the log file to understand which operations are performed by Yosys. From c91155559edbb901a39b693f8cd1f49833e58f14 Mon Sep 17 00:00:00 2001 From: Unai Martinez-Corral Date: Tue, 5 Apr 2022 13:22:43 +0200 Subject: [PATCH 2/2] docs/how: update yosys and icestorm website URL Signed-off-by: Unai Martinez-Corral --- docs/how.rst | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/docs/how.rst b/docs/how.rst index b66ca85..c5da1ec 100644 --- a/docs/how.rst +++ b/docs/how.rst @@ -33,12 +33,12 @@ Thus, F4PGA serves as an umbrella project for several activities. The central resources are the so-called FPGA "architecture definitions" (i.e. documentation of how specific FPGAs work internally) and the "interchange schema" (for logical and physical netlists). Those definitions serve as input to frontend and backend tools, such as -`Yosys ➚ `__, +`Yosys ➚ `__, :gh:`nextpnr ➚ ` and `Verilog to Routing ➚ `_. They are created within separate collaborating projects targeting different FPGAs: * :doc:`Project X-Ray ➚ ` for Xilinx 7-Series -* `Project IceStorm ➚ `__ for Lattice iCE40 +* `Project IceStorm ➚ `__ for Lattice iCE40 * :doc:`Project Trellis ➚ ` for Lattice ECP5 FPGAs More information can be found at :doc:`F4PGA Architecture Definitions ➚ ` and :doc:`FPGA Interchange ➚ `. @@ -55,7 +55,7 @@ To prepare a working bitstream for a particular FPGA chip, the toolchain goes th This stage is typically pre-built and installed as assets. However, developers contributing to the bitstream documentation might build it. -* Then, logic synthesis is carried out in the `Yosys ➚ `__ framework, which expresses the +* Then, logic synthesis is carried out in the `Yosys ➚ `__ framework, which expresses the user-provided hardware description by means of the block and connection types available in the chosen chip. * The next step is implementation.