diff --git a/docs/index.rst b/docs/index.rst index 37e697a..4ef3e12 100644 --- a/docs/index.rst +++ b/docs/index.rst @@ -1,22 +1,61 @@ FOSS Flows For FPGA ################### -F4PGA is an Open Source solution for Hardware Description Language (HDL) to Bitstream FPGA synthesis, currently -targeting Xilinx 7-Series, Lattice iCE40 and Lattice ECP5 FPGAs. +`F4PGA ➚ `__, which is a Workgroup under the `CHIPS Alliance ➚ `__, is an +Open Source solution for Hardware Description Language (HDL) to Bitstream FPGA synthesis, currently targeting +Xilinx's 7-Series, QuickLogic's EOS-S3, and Lattice' iCE40 and ECP5 devices. Think of it as the GCC of FPGAs. The project aims to design tools that are highly extendable and multiplatform. .. image:: _static/images/hero.svg :align: center +The elements of the project include (but are not limited to): + +* The F4PGA open source FPGA toolchains for programming FPGAs (formerly known as :gh:`SymbiFlow ➚ `): + + * :gh:`F4PGA Python CLI ➚ ` + * :gh:`F4PGA Architecture Definitions ➚ ` + * :gh:`F4PGA Examples ➚ ` + * :gh:`F4PGA Yosys plugins ➚ ` + +* The FPGA interchange format (an interchange format defined by CHIPS Alliance to enable interoperability between + different FPGA tools) adopted by the F4PGA toolchain: + + * :gh:`FPGA Interchange schema ➚ ` + * :gh:`FPGA Interchange Python utilities ➚ ` + * :gh:`FPGA Interchange Test suite ➚ ` + +* The :gh:`FPGA tool performance framework ➚ ` framework for benchmarking + designs against various FPGA tools, and vice versa, over time. + +* FPGA visualisation tools for visual exploration of FPGA bitstream and databases: + + * :gh:`F4PGA bitstream viewer ➚ ` + * :gh:`F4PGA database visualizer ➚ ` + +* Other utilities (FPGA assembly format, documentation and other): + + * :gh:`F4PGA Assembly (FASM) ➚ ` + * :gh:`Xilinx bitstream generation library ➚ ` + * :gh:`Verilog-to-routing XML utilities ➚ ` + * :gh:`SDF format utilities ➚ ` + * :gh:`F4PGA tools data manager ➚ ` + * :gh:`F4PGA Sphinx Theme ➚ ` + * :gh:`F4PGA Sphinx HDL diagrams ➚ ` + * :gh:`F4PGA Sphinx Verilog domain ➚ ` + + +Table of Contents +================= .. toctree:: :caption: About F4PGA - community + getting-started how status - getting-started + community .. toctree:: @@ -52,6 +91,7 @@ The project aims to design tools that are highly extendable and multiplatform. :caption: Specifications FPGA Assembly (FASM) ➚ + FPGA Interchange schema ➚ .. toctree::