Search.setIndex({"alltitles": {".f4cache": [[6, "f4cache"]], "1": [[2, "id8"]], "2": [[2, "id7"]], "3": [[2, "id6"]], "4": [[2, "id5"]], "5": [[2, "id4"]], "6": [[2, "id3"]], "7": [[2, "id2"]], "8": [[2, "id1"]], "About F4PGA": [[26, null]], "Analysis": [[21, "analysis"]], "Appendix": [[26, null]], "Available in most flows": [[6, "available-in-most-flows"]], "Bitstream documentation": [[28, "bitstream-documentation"]], "Bitstream translation": [[18, null]], "Boards": [[28, "boards"]], "Build a target": [[6, "build-a-target"]], "Building and dependency resolution": [[5, "building-and-dependency-resolution"]], "Building the documentation": [[1, null]], "Built-in values": [[6, "built-in-values"]], "Bumping/overriding specific tools": [[23, "bumping-overriding-specific-tools"]], "Changes": [[2, null]], "Common modules": [[10, "common-modules"]], "Common targets and values": [[6, "common-targets-and-values"]], "Communication": [[0, "communication"]], "Community": [[0, null]], "Conda (Recommended)": [[23, "conda-recommended"]], "Configuration interface:": [[10, "configuration-interface"]], "Containers": [[23, "containers"]], "Contributing": [[0, "contributing"]], "Dependencies": [[6, "dependencies"]], "Dependency resolution display": [[6, "dependency-resolution-display"]], "Design Flows": [[26, null]], "Developer\u2019s notes": [[5, null]], "Development": [[26, null]], "Different subsystems and where to find them?": [[5, "different-subsystems-and-where-to-find-them"]], "Extra notes": [[11, "extra-notes"]], "FOSS Flows For FPGA": [[26, null]], "Flow": [[6, "flow"]], "Fundamental concepts": [[6, "fundamental-concepts"]], "Future work": [[2, "future-work"]], "Generating Bitstream": [[4, "generating-bitstream"]], "Getting started": [[6, "getting-started"], [23, null]], "Glossary": [[24, null]], "Guidelines": [[23, "guidelines"]], "How it works": [[25, null]], "In F4PGA": [[19, null]], "Info mode": [[6, "info-mode"]], "Installation": [[5, "installation"]], "Installing OpenFPGALoader": [[23, "installing-openfpgaloader"]], "Interface": [[10, "interface"]], "Internal environmental variable system": [[5, "internal-environmental-variable-system"]], "Internal environmental variables": [[10, "internal-environmental-variables"]], "Introduction": [[20, null]], "Last update: 2022-05-06": [[5, "last-update-2022-05-06"]], "Loading bitstreams": [[23, "loading-bitstreams"]], "Modification tracking": [[5, "modification-tracking"]], "Module class": [[10, "module-class"]], "Module initialization/instantiation": [[10, "module-initialization-instantiation"]], "Modules": [[6, "modules"], [10, null]], "Module\u2019s execution modes": [[10, "module-s-execution-modes"]], "More information": [[19, "more-information"]], "Need to be provided by the user": [[6, "need-to-be-provided-by-the-user"]], "Optimization": [[22, "optimization"]], "Other": [[23, "other"]], "Out of the current scope": [[5, "out-of-the-current-scope"]], "Output analysis": [[19, "output-analysis"]], "Overview": [[7, null]], "Pack": [[4, "pack"]], "Packages in virtual environment": [[3, null]], "Packing": [[21, "packing"]], "Parameters": [[9, "parameters"], [11, "parameters"], [12, "parameters"], [17, "parameters"]], "Place": [[4, "place"]], "Place & Route": [[19, "place-route"], [21, null]], "Place and Route": [[4, "place-and-route"]], "Placing": [[21, "placing"]], "Platform-level configuration": [[10, "platform-level-configuration"]], "Platform\u2019s flow definition": [[6, "platform-s-flow-definition"]], "Pretend mode": [[6, "pretend-mode"]], "Project-level configuration": [[10, "project-level-configuration"]], "Project\u2019s flow configuration": [[6, "project-s-flow-configuration"]], "Project\u2019s structure": [[5, "project-s-structure"]], "Python utils": [[26, null]], "Qualifiers/decorators": [[10, "qualifiers-decorators"]], "RTL Generation": [[22, "rtl-generation"]], "References": [[7, "references"], [27, null]], "Resolution": [[6, "resolution"]], "Route": [[4, "route"]], "Routing": [[21, "routing"]], "Short description": [[19, "short-description"]], "Sources": [[0, "sources"]], "Specifications": [[26, null]], "Summary of all available sub-commands": [[6, "summary-of-all-available-sub-commands"]], "Summary of all options available for build sub-command": [[6, "summary-of-all-options-available-for-build-sub-command"]], "Summary of all options available for showd sub-command": [[6, "summary-of-all-options-available-for-showd-sub-command"]], "Summary of global options": [[6, "summary-of-global-options"]], "Supported Architectures": [[28, null]], "Synthesis": [[4, "synthesis"], [19, "synthesis"], [22, null]], "TODO:": [[5, "todo"]], "Table of Contents": [[26, "table-of-contents"]], "Target": [[6, "target"]], "Technology mapping": [[22, "technology-mapping"]], "Technology mapping for VPR": [[19, "technology-mapping-for-vpr"]], "Technology mapping in F4PGA toolchain": [[19, "technology-mapping-in-f4pga-toolchain"]], "Tested environments": [[2, "tested-environments"]], "Toolchain installation": [[23, "toolchain-installation"]], "Understanding the (deprecated) flow": [[4, null]], "Usage": [[6, null], [23, "usage"]], "Usage in Toolchain": [[19, "usage-in-toolchain"]], "Used in flow definitions": [[6, "used-in-flow-definitions"]], "Using Command-Line Interface": [[6, "using-command-line-interface"]], "Using flow configuration file": [[6, "using-flow-configuration-file"]], "VPR": [[19, "vpr"]], "Values": [[8, "values"], [11, "values"], [17, "values"]], "Yosys": [[19, "yosys"]], "exec mode": [[10, "exec-mode"]], "f4pga": [[6, "f4pga"]], "fasm": [[8, null]], "generic_script_wrapper": [[9, null]], "io_rename": [[11, null]], "mapping mode": [[10, "mapping-mode"]], "mkdirs": [[12, null]], "nextpnr": [[19, "nextpnr"]], "pack": [[13, null]], "place": [[14, null]], "place_constraints": [[15, null]], "route": [[16, null]], "symbiflow_pack": [[4, "id2"]], "symbiflow_place": [[4, "id3"]], "symbiflow_synth": [[4, "id1"]], "symbiflow_write_bitstream": [[4, "id4"]], "synth": [[17, null]]}, "docnames": ["community", "development/building-docs", "development/changes", "development/venv", "f4pga/Deprecated", "f4pga/DevNotes", "f4pga/Usage", "f4pga/index", "f4pga/modules/fasm", "f4pga/modules/generic_script_wrapper", "f4pga/modules/index", "f4pga/modules/io_rename", "f4pga/modules/mkdirs", "f4pga/modules/pack", "f4pga/modules/place", "f4pga/modules/place_constraints", "f4pga/modules/route", "f4pga/modules/synth", "flows/bitstream", "flows/f4pga", "flows/index", "flows/pnr", "flows/synthesis", "getting-started", "glossary", "how", "index", "references", "status"], "envversion": {"sphinx": 62, "sphinx.domains.c": 3, "sphinx.domains.changeset": 1, "sphinx.domains.citation": 1, "sphinx.domains.cpp": 9, "sphinx.domains.index": 1, "sphinx.domains.javascript": 3, "sphinx.domains.math": 2, "sphinx.domains.python": 4, "sphinx.domains.rst": 2, "sphinx.domains.std": 2, "sphinx.ext.intersphinx": 1, "sphinxcontrib.bibtex": 9}, "filenames": ["community.rst", "development/building-docs.rst", "development/changes.rst", "development/venv.rst", "f4pga/Deprecated.rst", "f4pga/DevNotes.md", "f4pga/Usage.md", "f4pga/index.rst", "f4pga/modules/fasm.md", "f4pga/modules/generic_script_wrapper.md", "f4pga/modules/index.md", "f4pga/modules/io_rename.md", "f4pga/modules/mkdirs.md", "f4pga/modules/pack.md", "f4pga/modules/place.md", "f4pga/modules/place_constraints.md", "f4pga/modules/route.md", "f4pga/modules/synth.md", "flows/bitstream.rst", "flows/f4pga.rst", "flows/index.rst", "flows/pnr.rst", "flows/synthesis.rst", "getting-started.rst", "glossary.rst", "how.rst", "index.rst", "references.rst", "status.rst"], "indexentries": {"artifact": [[24, "term-Artifact", true]], "cache": [[24, "term-Cache", true]], "cli": [[24, "term-CLI", true]], "configuration": [[24, "term-Configuration", true]], "constraints": [[24, "term-Constraints", true]], "definition": [[24, "term-Definition", true]], "dependency": [[24, "term-Dependency", true]], "design": [[24, "term-Design", true]], "f4pga": [[24, "term-F4PGA", true]], "flow": [[24, "term-Flow", true]], "hdl": [[24, "term-HDL", true]], "model": [[24, "term-Model", true]], "module": [[24, "term-Module", true]], "project": [[24, "term-Project", true]], "resolution": [[24, "term-Resolution", true]], "step": [[24, "term-Step", true]], "target": [[24, "term-Target", true]], "tool": [[24, "term-Tool", true]], "toolchain": [[24, "term-Toolchain", true]]}, "objects": {"": [[19, 0, 1, "verilog-bufg", "$root::BUFG"], [19, 0, 1, "verilog-bufgctrl", "$root::BUFGCTRL"]]}, "objnames": {"0": ["verilog", "module", "module"]}, "objtypes": {"0": "verilog:module"}, "terms": {"": [2, 4, 7, 8, 9, 17, 19, 20, 21, 25, 26, 28], "0": [6, 19], "05": 26, "06": 26, "0th": 9, "1": [4, 6, 9, 19, 26, 27], "10": 27, "1023487178": 7, "1109": 27, "1145": 27, "1234": 10, "143856": 2, "160711": 2, "173445": 2, "181657": 2, "1986": 27, "1987": 27, "1998": 27, "2": [6, 26], "2000": 25, "2001": 19, "2003": 27, "2007": 27, "2013": 27, "2014": 27, "2017": 27, "2019": 27, "2020": 27, "2022": [7, 26], "20220714": 2, "20220721": 2, "20220729": 2, "20220803": 2, "20220818": 2, "20220907": 2, "204939": 2, "210059": 2, "2225": 7, "2371": 7, "2455": 7, "24e8f73": 2, "24x24": 28, "25": 19, "27eca4f35db3c4e04ce255ab319611774de9b9a1": 2, "28th": 27, "2998435": 27, "2d8d85706ecc7a72e17078ea903e2751022825da": 2, "3": [6, 10, 26], "3388617": 27, "3400302": 27, "3415734": 27, "3415736": 27, "38358c4": 2, "390": 7, "39da9c9f17513ea0c3dd12be655a3684e5b77519": 2, "3e8a003cabec84f7841571a6929fe2623e702e96": 2, "4": [26, 27], "40": 27, "4608": 28, "49": 27, "5": 26, "524dfb6e746b632fdeddc9d06d8a002a3c7a3118": 2, "52bc71a0506cad647951a16fb214ea82934bed0a": 2, "57": 27, "574": 23, "6": [26, 27], "6144": 28, "66a976d": 2, "6b0e7b8a75fb8715bb081cb7f24948c3aec0df31": 2, "6b4976a028e8a8a3b78711b6471655d3bfe58ed7": 2, "7": [19, 25, 26, 27, 28], "7833050": 2, "78b5e8f2845985be0c63631324adc33756de642d": 2, "8": [26, 27], "8c411eb74e4bb23d1ec243a1515b9bfb48e2cd83": 2, "9344073": 27, "954a21090b14808b50d259b552e353b69f0ddae0": 2, "A": [4, 6, 9, 10, 17, 19, 24, 25, 27], "AND": 2, "As": [19, 22], "At": 6, "Be": 10, "By": [10, 19], "For": [0, 1, 4, 5, 6, 8, 10, 17, 22, 23, 24, 25], "If": [4, 6, 9, 10, 17, 23, 27], "In": [6, 10, 11, 18, 20, 21, 22, 23, 24, 26, 27], "It": [4, 5, 6, 7, 10, 11, 18, 19, 20, 23, 24, 27], "Its": [9, 19], "No": 6, "Not": [6, 11], "On": [24, 27], "That": 18, "The": [0, 2, 4, 5, 6, 7, 8, 10, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27], "Then": [19, 25], "There": [0, 6, 19, 22, 23], "These": [5, 6, 25], "To": [0, 3, 4, 6, 9, 19, 23, 25], "Will": 27, "With": [6, 10], "_": 19, "_000_": 19, "_001_": 19, "_003_": 19, "_012_": 19, "_013_": 19, "_062_": 19, "_073_": 19, "_150_": 19, "__init__": [5, 10], "_build_dep": 5, "_resolve_depend": 5, "_techmap_": 19, "_techmap_constmask_": 19, "_techmap_constmsk_": 19, "_techmap_constmsk_ce0_": 19, "_techmap_constmsk_ce1_": 19, "_techmap_constmsk_ignore0_": 19, "_techmap_constmsk_ignore1_": 19, "_techmap_constmsk_s0_": 19, "_techmap_constmsk_s1_": 19, "_techmap_constval_": 19, "_techmap_constval_ce0_": 19, "_techmap_constval_ce1_": 19, "_techmap_constval_ignore0_": 19, "_techmap_constval_ignore1_": 19, "_techmap_constval_s0_": 19, "_techmap_constval_s1_": 19, "_techmap_replace_": 19, "a10": 27, "a_valu": 10, "aaron": 27, "ab": 27, "abc": 19, "abil": 6, "abl": [6, 10, 19, 20], "about": [5, 6, 8, 10, 17, 19, 21, 23, 25], "abov": [6, 17, 23], "abstract": [7, 22, 24], "academ": 27, "academia": 0, "accept": 8, "access": [5, 10, 11], "accur": [5, 27], "achiev": [10, 24, 25], "acm": 27, "across": 24, "action": 23, "activ": [1, 25], "actual": [5, 10, 19], "adapt": [7, 23], "add": [5, 6, 28], "adder": 28, "addit": [2, 6, 17, 19, 22, 23], "addition": [10, 19], "additional_vpr_opt": 2, "address": 6, "adler32": 5, "adopt": [0, 21, 26], "adv": 27, "advanc": [23, 28], "aforement": 10, "after": [6, 9, 19, 21, 22], "against": 26, "agnost": 7, "aid": 27, "aim": 26, "ajayi": 27, "alain": 27, "alainmarcel": 27, "alessandro": 27, "algorithm": 21, "alia": 10, "aliaksei": 27, "all": [0, 4, 5, 7, 9, 10, 11, 19, 20, 21, 23, 25], "allianc": [0, 24, 26], "allow": [2, 6, 9, 10, 17, 21, 22, 24, 25], "almost": 0, "along": [6, 23, 24], "alongsid": 6, "alpha": 7, "alreadi": [6, 10, 23], "also": [4, 5, 6, 9, 10, 19, 23, 25], "altern": [6, 23], "although": [23, 25], "alwai": [6, 10, 19, 22], "amaranth": [0, 24, 25], "ambigu": 6, "amd": [2, 6], "an": [4, 6, 9, 10, 11, 15, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27], "analysi": 26, "analyz": [21, 27], "andrew": 27, "ani": [6, 10, 11, 19, 23], "anoth": [5, 11, 19, 24], "another_valu": 10, "ansel": 27, "antmicro": 0, "anymor": 2, "anyth": [9, 10], "apart": 23, "api": [7, 10, 23, 24], "append": 24, "appli": 10, "applic": [7, 24], "approach": [4, 5], "appropri": [18, 19], "apt": 23, "ar": [0, 2, 4, 5, 6, 7, 9, 10, 17, 19, 22, 23, 24, 25, 26], "arbitrari": 6, "arch": [2, 23], "arch_def": 6, "architectur": [2, 6, 15, 19, 22, 23, 25, 26, 27], "area": [0, 25], "argpars": 5, "argument": [2, 4, 6, 9], "around": 24, "arti": [6, 23], "artifact": 24, "artix7": 4, "arty_35": 6, "asic": 25, "ask": 6, "assembli": [6, 8, 26], "asset": [23, 24, 25], "assign": [10, 19, 21], "associ": [5, 9], "assumpt": 6, "attach": 19, "attr": 19, "attribut": [10, 19], "austin": 27, "austrochip": 27, "author": [9, 12, 17], "autom": [7, 23], "automerg": 23, "avail": [0, 7, 9, 21, 22, 23, 24, 25], "avoid": [5, 10], "b": [4, 10, 23, 27], "b0": 19, "b1": 19, "back": 5, "backend": [19, 25], "background": [0, 23], "backward": 4, "bad": 6, "barrier": 0, "base": [2, 7, 21, 23, 27], "bash": [4, 7], "basic": [5, 10, 20, 22, 28], "basys3": 4, "batch": 24, "becaus": [5, 6, 19, 20, 22], "becom": 23, "been": [6, 21], "befor": [2, 10, 19], "begin": [19, 21, 23], "behavior": [19, 22, 27], "behind": [10, 19, 22], "being": [2, 5, 10, 19, 24], "bel": 19, "belong": 21, "below": [4, 6, 19, 21, 23, 24], "benchmark": 26, "best": [21, 25], "better": 22, "between": [6, 19, 21, 22, 24, 25, 26], "betz": 27, "beyond": 7, "big": 19, "bin": [2, 3, 10], "bindir": 10, "bit": [4, 6, 19], "bitstream": [6, 7, 19, 20, 25, 26], "bleed": 23, "blif": 6, "blif_model": 19, "blk": 19, "block": [18, 19, 21, 22, 25, 28], "blown": 5, "board": [4, 23, 26], "bool": 9, "bootstrap": [2, 23], "bore": 5, "bot": 19, "both": [3, 10, 19, 23, 25], "box": 6, "branch": 23, "brandl": 27, "break": 4, "bridg": 27, "bring": 6, "buffer": 19, "bufg": 19, "bufg_clk_top": 19, "bufgc": 19, "bufgce_1": 19, "bufgctr_vpr": 19, "bufgctrl": 19, "bufgctrl_vpr": 19, "bufgmux": 19, "bufgmux_1": 19, "bufgmux_ctrl": 19, "build": [0, 2, 4, 10, 19, 23, 25, 26, 27], "build_dir": 6, "built": [4, 10, 23, 24, 25], "bump": 26, "bunch": [6, 22], "bundl": 10, "bybel": 27, "c": [0, 10, 19, 23, 27], "c342fc6ff1684f3dc6072713730ac9fc574ab2f3": 2, "cabl": 23, "cach": [5, 24], "cad": 27, "call": [5, 6, 19, 22, 25], "can": [3, 4, 5, 6, 9, 10, 17, 19, 20, 22, 23, 24, 25], "cannot": [6, 10, 23], "capit": 4, "care": 10, "carri": [4, 21, 25], "case": [0, 6, 10, 19, 24], "catch": 23, "categori": 10, "caus": [6, 19], "ce": 19, "ce0": 19, "ce1": 19, "cell": [14, 15], "central": 25, "certain": [10, 19, 22, 24], "chain": 21, "chang": [4, 5, 6, 7, 10, 11, 19, 24, 26], "chapyzhenka": 27, "charact": 6, "character": 24, "characterist": 24, "chat": 0, "check": [5, 6, 21, 23, 24], "chip": [0, 4, 6, 18, 19, 21, 22, 23, 24, 25, 26], "chipsalli": [0, 7, 23, 27], "chisel": [0, 24, 25], "choic": [17, 21], "chose": 10, "chosen": [6, 18, 19, 21, 22, 25], "chri": 27, "ci": 23, "circuit": [13, 22], "clair": 27, "clase": 24, "class": 5, "clear": 5, "cli": [2, 5, 6, 7, 10, 23, 24, 26], "clifford": 27, "clk": 19, "clock": [19, 28], "clone": 23, "cluster": 21, "cmake": 5, "cmakelist": 5, "cname": 19, "code": [0, 5, 6], "codebas": [5, 7], "coher": 23, "collabor": [0, 25], "collect": [21, 27], "collis": 10, "colon": 6, "com": [0, 27], "combin": [2, 10, 19, 23], "come": [4, 9, 10, 27], "command": [4, 7, 9, 19, 23, 24], "comment": 5, "commerci": 27, "commit": 23, "common": [4, 5, 8, 17, 19, 26], "commun": [24, 26], "comodi": 27, "compar": 5, "compat": [4, 19], "compil": [4, 19, 27], "complet": [6, 25], "complex": [6, 10, 21, 22, 24], "complic": 22, "compon": [24, 25, 27], "compos": [23, 24], "composit": 21, "comput": [10, 24, 27], "concept": [20, 26], "conda": [2, 3, 6, 26], "confer": 27, "config": 10, "configur": [5, 9, 17, 18, 19, 24], "confirm": 6, "conflict": 6, "confus": 6, "connect": [19, 21, 22, 25], "consecut": 24, "consid": [4, 6], "consist": [0, 2, 4, 5, 19, 21, 22, 25], "constant": 19, "constrain": 6, "constraint": [6, 15, 23, 24], "construct": [6, 10, 13, 14, 15, 16], "consum": 5, "consumpt": 21, "contain": [4, 5, 6, 7, 9, 10, 17, 18, 19, 21, 22, 24, 26], "content": [6, 24], "continu": [6, 7, 23], "contribut": [7, 25, 26], "contributor": [23, 27], "control": 6, "conv": [2, 17], "convent": [6, 9, 19], "convert": [19, 20, 22, 27], "core": 5, "corner": 8, "correctli": 19, "correspond": 19, "cost": 28, "could": 5, "counter": [6, 19], "coupl": [6, 10], "cover": [7, 25], "cpu": 28, "creat": [4, 6, 12, 19, 20, 25], "creation": 20, "criteria": 6, "ctx": [5, 10], "current": [6, 9, 10, 19, 26], "custom": [23, 24], "customiz": 27, "cwd": 9, "cycl": 27, "d": [4, 6, 19, 23], "d8134c926167a8092d5b392110ce2932bdae8f54": 2, "dap": 23, "dargela": 27, "data": [5, 6, 10, 24, 26, 27], "databas": [6, 10, 26, 28], "date": 5, "daunt": 23, "david": 27, "dbuild_dir": 6, "debug": [4, 23], "debugg": 27, "decad": 25, "decis": 21, "declar": [10, 19, 24], "deeper": 19, "def": [2, 23], "default": [2, 4, 6, 9, 10, 19], "default_part": 6, "default_platform": [6, 10], "default_target": [6, 10], "defin": [4, 5, 6, 9, 10, 17, 24, 26], "definit": [2, 4, 5, 9, 10, 12, 17, 19, 22, 23, 24, 25, 26], "demand": [5, 6, 10, 17, 21, 22], "dep": 6, "depend": [1, 9, 10, 11, 17, 20, 23, 24], "dependeci": 10, "dependency_nam": [6, 9], "deprec": [2, 6, 15, 26], "deriv": [6, 9, 10], "describ": [4, 6, 9, 10, 19, 20, 22, 24], "descript": [0, 4, 6, 9, 10, 18, 20, 22, 24, 25, 26], "descritpt": 6, "dese": 23, "design": [4, 6, 7, 16, 17, 19, 20, 21, 22, 23, 24, 25, 27], "design_constraint": 4, "desir": [17, 18, 19, 21, 22], "detail": [4, 5, 8, 17, 23], "determin": 9, "determinist": 6, "dev": 23, "develop": [6, 7, 23, 24, 25, 27], "devic": [2, 6, 19, 21, 23, 24, 26, 28], "df": 5, "df55ee75e4a1da978ffa5f7385bed47faf255661": 2, "df6d9e5": 2, "dfu": 23, "diagram": [26, 27], "dict": [6, 9, 10, 11, 17], "dictionari": [6, 10], "differ": [0, 4, 6, 10, 11, 18, 19, 20, 21, 22, 23, 24, 25, 26], "difficult": 23, "digil": 23, "digit": [22, 27], "dir": [9, 19], "direct": [19, 24], "directli": [3, 10, 19], "directori": [4, 6, 9, 10, 12, 17, 19], "disallow": 10, "disk": 24, "displai": 24, "distinct": 6, "distinguish": [19, 22], "distribut": 23, "divid": 20, "dl": 27, "dnf": 23, "do": [0, 6, 10, 25, 28], "doc": [0, 8, 17, 27], "docker": [0, 23], "document": [0, 5, 7, 10, 18, 23, 25, 26, 27], "doe": [2, 6, 10, 21], "doesn": [6, 10], "doi": 27, "domain": 26, "done": [5, 6, 23, 25], "dot": 6, "down": 4, "download": 23, "dpack_log": 6, "draft": 22, "drive": 0, "driven": [0, 22], "dsourc": 6, "dsp": [22, 28], "dsynth_log": 6, "due": [5, 6, 17, 22, 23], "dump": 4, "dure": [4, 6, 9, 10, 19], "dxdc": 6, "e": [4, 19, 21, 22, 23, 25, 27], "e3a23897c2692d54a3abb57f5199cded6852da60": 2, "e9a520a17a00cfd268f8ee549340aaa297b63da5": 2, "each": [4, 5, 6, 9, 10, 12, 21, 23], "earli": 25, "earlier": 6, "easi": 23, "easier": [0, 5], "easili": 24, "eblif": [4, 6, 10, 17, 19], "ecosystem": [0, 6, 7, 23, 25], "ecp5": [2, 23, 25, 26, 28], "eda": [0, 23, 24, 25, 27], "edal": 7, "eda\u00b2": 7, "edg": 23, "effort": [23, 27], "efpga": [8, 28], "eg": [9, 10], "either": [6, 7, 10, 25], "elabor": 27, "eldafrawi": 27, "electron": [7, 23], "element": [4, 21, 26], "elgamm": 27, "elimin": 0, "emerg": [24, 25], "empti": [6, 9, 17, 19], "enabl": [10, 26, 27], "encount": 23, "end": [7, 19, 20, 24, 25, 27], "endmodul": 19, "engin": 27, "english": 0, "enhanc": 23, "enter": 1, "entir": [6, 9], "entri": [0, 5, 10, 11], "entrypoint": [2, 4, 7, 24], "env": [1, 19], "environ": [1, 6, 23, 26], "environment": [9, 17], "eo": [2, 6, 26, 28], "equal": 19, "equat": 19, "equival": [6, 22], "especi": 4, "essenti": 10, "establish": [24, 25], "etc": [4, 5, 10, 19, 21, 23, 24], "eugen": 27, "evalu": [10, 19], "even": [19, 20, 22], "event": 22, "everi": [10, 20, 21, 23], "everyth": 9, "exampl": [2, 4, 6, 10, 19, 22, 23, 26], "except": 10, "execut": [6, 9, 17, 24], "exist": [0, 6, 7, 10, 23, 24], "expect": 19, "experiment": [6, 27], "expertis": 23, "explain": [4, 19, 23], "explicit": [6, 10], "explicitli": [5, 6, 10], "explor": 26, "exponenti": 10, "expos": [5, 10], "express": [6, 19, 25], "extend": [5, 6, 24, 26], "extens": [9, 19], "extern": 9, "extra": [9, 10], "extract": 23, "f": [4, 6], "f4cach": 5, "f4pga": [0, 2, 4, 5, 7, 9, 10, 18, 20, 23, 24, 25, 28], "f4pga_bin_dir": 2, "f4pga_env_bin": 2, "f4pga_env_shar": 2, "f4pga_fam": 23, "f4pga_install_dir": [2, 23], "f4pga_share_dir": [2, 23], "f7afc12": 2, "fabric": 4, "facilit": 7, "fact": 10, "factor": 22, "fall": 10, "fals": 6, "famili": [4, 19, 23, 28], "familiar": 23, "fang": 27, "fasm": [4, 6, 10, 19, 25, 26], "fasm2bel": 19, "fasm_extra": [6, 17], "fasm_featur": 19, "fasm_param": 19, "favor": [6, 11], "fc5d8da": 2, "fdre_zini": 19, "featur": [5, 6, 23, 25, 27, 28], "fedora": 23, "few": [4, 20, 22], "fewer": 22, "field": 10, "file": [0, 2, 4, 5, 9, 10, 17, 18, 19, 20, 21, 22, 23, 24, 25], "filenam": 10, "final": [6, 18, 19, 20, 21, 22, 25], "find": [0, 21, 23, 26], "fine": 7, "finish": 10, "first": [2, 4, 6, 19, 21], "firstli": [19, 22], "fix": 6, "flag": [4, 6, 9], "flip": [21, 22, 28], "flop": [21, 22, 28], "flow": [0, 2, 5, 7, 9, 10, 12, 17, 19, 20, 21, 23, 24, 25, 27], "flow_config": 5, "focus": 25, "follow": [0, 4, 6, 8, 10, 17, 19, 23, 25], "forc": [5, 19], "form": [6, 21, 22, 24], "formal": 27, "format": [0, 6, 18, 19, 20, 24, 25, 26], "formatt": 27, "former": 25, "formerli": 26, "fortun": 23, "forward": 0, "foss": [0, 24, 25, 27], "found": [5, 19, 25], "four": 21, "fpga": [0, 4, 6, 7, 8, 18, 19, 20, 21, 22, 23, 24, 25, 27, 28], "fpga_fam": 2, "fragment": 23, "framework": [25, 26], "free": [19, 25, 27], "from": [0, 2, 4, 5, 6, 7, 9, 10, 19, 22, 23, 24, 25], "front": 27, "frontend": [19, 25], "ftdi": 23, "full": [4, 5, 6, 10], "fulli": [9, 27], "function": [15, 19, 20, 22, 23, 24], "fundament": 26, "fusesoc": 7, "futur": [6, 10, 11, 23, 26], "g": [0, 21, 23, 27], "gate": [4, 22], "gatecat": 27, "gather": 23, "gc": 23, "gcc": [26, 27], "gcr": 23, "gdb": 27, "gener": [5, 6, 7, 8, 9, 10, 17, 19, 21, 23, 24, 25, 26, 27], "generic_script_wrapp": 10, "genfasm": 8, "georg": 27, "get": [5, 9, 10, 19, 24, 26], "gh": 23, "ghcr": 23, "ghdl": 27, "gingold": 27, "github": [0, 23, 27], "give": [4, 6, 19], "given": [6, 10, 11, 24, 25], "glaser": 27, "global": 10, "glossari": [23, 26], "gnd": 19, "gnu": 27, "go": [4, 5, 6, 10, 23], "goal": [21, 25], "goe": 25, "good": [19, 23], "googl": [0, 27], "got": 6, "grab": 9, "graham": 27, "grain": 7, "graph": [21, 24], "great": 23, "group": [19, 24, 27], "grow": 10, "gsoc": 7, "gtk": 27, "gtkwave": 27, "guanru": 27, "guarante": [8, 10, 17], "guid": [19, 23], "guidelin": 26, "gz": 2, "h0": 19, "h1": 19, "ha": [2, 4, 5, 6, 10, 19, 20, 22], "hand": 19, "handi": 10, "handl": [4, 6, 23], "hanq": 27, "hard": [22, 28], "hardwar": [0, 20, 24, 25, 26, 27], "hash": [5, 6, 23, 24], "have": [0, 5, 6, 10, 21, 22, 24], "hdl": [0, 4, 6, 7, 19, 20, 23, 24, 25, 26], "head": 23, "help": [0, 1, 4, 5, 28], "helper": 6, "henc": 23, "henner": 27, "here": [6, 10, 19], "hierarch": 6, "high": [27, 28], "highli": [6, 19, 26], "histori": 27, "homogen": 2, "how": [4, 9, 17, 19, 23, 26], "howev": [4, 6, 10, 18, 20, 21, 22, 23, 24, 25], "html": [0, 1], "http": [19, 27], "i": [0, 2, 3, 4, 5, 6, 7, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27], "i0": 19, "i1": 19, "icaru": 27, "iccad": 27, "ice40": [2, 19, 23, 25, 26, 28], "icestorm": [23, 25, 28], "idea": 7, "identifi": 6, "ie": [5, 6, 11], "ieee": 27, "ifip": 27, "ignore0": 19, "ignore1": 19, "implement": [4, 10, 19, 20, 21, 22, 25], "impli": 23, "implicit": 9, "implicitli": 10, "import": [18, 19], "importantli": 5, "impos": 15, "imposs": 10, "in_us": 19, "includ": [0, 2, 6, 10, 23, 24, 26, 27], "incomplet": 10, "increment": 6, "independ": 24, "index": [9, 23], "indic": 6, "individu": [5, 25], "industri": 0, "info": [10, 23], "inform": [4, 5, 6, 8, 10, 17, 21, 25, 26], "inherit": 19, "ini": 24, "init_out": 19, "input": [5, 6, 9, 10, 11, 17, 19, 20, 22, 25], "insid": [3, 5, 19], "inspect": 5, "inspir": [24, 25], "instal": [1, 2, 3, 6, 7, 25, 26], "instanc": [3, 5, 6, 10, 11], "instanti": [18, 19], "instead": [2, 4, 6, 9, 10], "instruct": [18, 19, 23], "integr": [7, 9, 20, 22, 23, 27], "interact": 24, "interchang": [25, 26], "interconnect": 19, "interest": 0, "interfac": [5, 7, 23, 24, 26], "intermedi": [10, 19, 23], "intern": [4, 19, 25, 27], "interoper": 26, "interpet": 24, "interpret": [6, 9, 10, 24], "introduct": [19, 26], "inv_ce0": 19, "inv_ce1": 19, "inv_ignore0": 19, "inv_ignore1": 19, "inv_s0": 19, "inv_s1": 19, "invert": 19, "invit": [0, 23, 27], "invoc": 3, "invok": 5, "involv": 5, "io": [23, 27, 28], "io_plac": 6, "io_renam": 10, "ioplac": 6, "ip": 0, "irc": 0, "is_c_invert": 19, "is_ce0_invert": 19, "is_ce1_invert": 19, "is_ignore0_invert": 19, "is_ignore1_invert": 19, "is_output_explicit": 10, "is_s0_invert": 19, "is_s1_invert": 19, "isn": 6, "issu": 23, "issuecom": 7, "item": 10, "item1": 6, "item2": 6, "item3": 6, "its": [4, 5, 6, 10, 11, 12, 19, 22], "itself": 18, "iverilog": 27, "j": 27, "jason": 27, "jean": 27, "jia": 27, "job": 23, "johann": 27, "json": [5, 6, 10, 17, 24], "jtag": 23, "just": 6, "k4n8": [6, 28], "kahng": 27, "keep": [4, 5], "kei": [9, 10, 11, 12, 22], "keith": 27, "kenneth": 27, "kent": 27, "kevin": 27, "kevinpt": 27, "key1": 6, "key2": 6, "key3": 6, "kim": 27, "kind": 10, "know": [0, 6], "known": [23, 26], "komiya": 27, "lack": 6, "lai": 25, "languag": [0, 20, 24, 25, 26], "larg": 27, "last": [6, 9, 18, 19, 21, 26], "latch": 19, "later": 10, "latest": 23, "latter": 25, "lattic": [2, 19, 25, 26, 28], "lattner": 27, "layout": [19, 21], "ld7": 19, "lead": [5, 6], "leaf": 24, "leav": 11, "legault": 27, "length": 19, "let": 6, "letter": 6, "level": [4, 6, 22], "libera": 0, "librari": [0, 7, 22, 24, 26], "like": [4, 6, 19, 22, 24, 25], "limit": [10, 26], "line": [7, 23, 24], "link": [5, 24], "linter": 27, "linux": 23, "list": [0, 4, 6, 10, 17, 23], "list_of_valu": 10, "llvm": 27, "load": [5, 9, 26], "loadabl": 5, "local": 10, "localparam": 19, "locat": [3, 4, 5, 6, 21, 22, 25], "log": [4, 6, 19, 24], "log2delai": 19, "logic": [5, 19, 21, 22, 24, 25, 28], "long": 6, "look": [4, 5, 6, 10, 19, 22, 23], "low": 28, "lowercas": [4, 24], "lut": [19, 22], "lut4": 28, "luu": 27, "mac": 27, "macro": 19, "made": [19, 22, 23], "mai": [5, 6, 10, 17, 21, 22, 23, 27], "mail": 0, "main": [5, 6, 23, 24], "maintain": 23, "major": 25, "make": [0, 1, 5, 7, 10, 21, 23], "makefil": [4, 23], "manag": [23, 26], "mandatori": [6, 9, 10], "mani": 23, "manual": 19, "map": [5, 11, 17, 26], "map_io": [9, 10], "mark": 6, "match": [6, 19, 20], "matthew": 27, "maximum": 6, "md": [8, 17], "mean": [6, 9, 10, 22, 25], "meant": [17, 23, 24], "mechan": 10, "meet": 6, "mehdi": 27, "member": 0, "memori": 10, "mention": [10, 17, 19, 22], "merg": 5, "meta": [9, 19, 24], "metadata": [5, 19], "method": [4, 5, 10], "micro": 27, "migen": [0, 24, 25], "might": [4, 5, 6, 10, 11, 23, 24, 25], "min": 27, "minim": [2, 23], "minsoo": 27, "miss": [5, 6, 27], "mk_build_dir": 6, "mkdir": [6, 10], "mm": 27, "mobil": 28, "mode": [9, 19, 24], "model": [0, 19, 22, 24, 27], "modif": 6, "modifi": [2, 5, 6, 19], "modul": [4, 5, 7, 8, 9, 11, 12, 17, 19, 24, 26], "modular": [6, 27], "module_inspector": 5, "module_opt": 10, "module_runn": 5, "moduleclass": 10, "modulecontext": 10, "moham": 27, "moment": [6, 10], "more": [0, 1, 4, 5, 10, 20, 21, 22, 23, 25, 26, 28], "moreov": 23, "most": [0, 4, 5, 10, 18, 19, 21, 22, 23, 24, 28], "mostli": [5, 22], "move": [0, 2, 15, 23], "multipl": [0, 5, 6, 7, 19, 23, 24], "multiplatform": [19, 26], "murrai": 27, "must": 10, "mux": 21, "my": 4, "my_module_top": 4, "n": [4, 6], "name": [2, 4, 5, 6, 9, 10, 11, 12, 19, 23, 24], "namespac": [5, 10], "nativ": [11, 15], "necessari": [5, 6, 10, 19, 20, 25], "necessarili": 10, "need": [0, 4, 5, 10, 18, 19, 21, 22, 24, 25], "neither": 10, "nest": 6, "net": [4, 6, 21, 27], "netlist": [4, 6, 19, 22, 25], "neutral": 25, "never": 6, "nevertheless": 19, "new": [0, 4, 6, 23], "newcom": 23, "next": [6, 20, 22, 25], "nextpnr": [0, 23, 25, 26, 27], "nocach": 6, "nocarri": 19, "node": 5, "noext": 9, "noisi": 6, "noisywarn": [6, 10], "none": 10, "notat": 6, "note": [3, 4, 7, 10, 24, 26], "notic": [4, 22], "novel": 27, "now": [2, 4, 6], "num_pb": 19, "num_pin": 19, "number": [4, 6, 10, 17, 25], "nx": 2, "o": [5, 6, 7, 10, 19], "obtain": 19, "obviou": [6, 10], "off": 24, "ofl_board": 4, "often": [5, 19, 22], "old": 4, "oleg": 27, "omit": 6, "onc": [15, 21], "one": [5, 6, 10, 18, 19, 21, 23], "ones": [19, 23], "onli": [4, 5, 6, 8, 10, 15, 19, 22], "open": [0, 4, 19, 25, 26, 27], "openfpgaload": [4, 26], "openocd": 23, "oper": [10, 19, 22], "opportun": 19, "opt_": 19, "opt_clean": 19, "opt_expr": 19, "optim": [19, 21, 24, 26], "option": [1, 4, 8, 9, 10, 11, 17, 23], "orbtrac": 23, "order": [6, 17, 20, 22, 23], "org": [0, 19, 27, 28], "organ": [7, 21], "orient": 25, "origin": [10, 11, 19], "osx": 27, "other": [4, 6, 10, 19, 24, 26], "otherwis": [5, 19], "out": [0, 4, 25], "out_eblif": 19, "out_synth_v": 19, "output": [4, 5, 6, 8, 9, 10, 11, 17, 18, 21, 26], "outsid": 11, "over": [10, 26], "overload": 10, "overrid": [6, 26], "overridden": 10, "overview": [4, 25, 26], "overwrit": 10, "own": [6, 10, 23], "p": [4, 6, 19, 27], "pack": [6, 10, 26], "pack_log": 6, "packag": [2, 5, 7, 10, 23, 24, 26], "pacman": 23, "pad": 24, "page": [13, 14, 15, 16], "panagioti": 27, "paper": 27, "paradigm": [24, 25], "param": [10, 11, 17, 19], "paramet": [6, 10, 19, 21, 24], "parameter": 17, "parametr": 10, "parser": 27, "part": [4, 5, 6, 10, 22, 25], "part_db": 5, "part_nam": 6, "partial": [24, 28], "particular": [5, 19, 25], "pass": [6, 10, 11, 19, 24], "path": [2, 4, 5, 6, 9, 10, 12, 17, 18, 21, 23, 25], "pathexpr": 6, "patro": 27, "pattern": 10, "pb_type": 19, "pcf": [4, 6], "pdf": 27, "per": 10, "perf": 7, "perform": [5, 6, 19, 22, 24, 26, 27, 28], "perhap": 5, "persist": 6, "pertain": 25, "petelin": 27, "philipp": 27, "physic": [6, 19, 21, 22, 24, 25], "piec": [6, 19, 23, 27], "pin": [2, 4, 23, 24], "pip": 3, "pip3": 3, "pipelin": [2, 23], "place": [6, 10, 26, 27], "place_constraint": [6, 10], "placement": [4, 15, 21, 25], "platform": [5, 17, 19, 20, 22, 28], "platform_device_nam": 6, "pleas": [8, 10, 17, 23], "plugin": [23, 26, 27], "plumb": [7, 23], "pnr": [8, 19, 21], "pnr_corner": 8, "podman": [0, 23], "point": [5, 10, 19, 21, 23], "popular": 28, "port": 19, "port_nam": 19, "portabl": 27, "posedg": 19, "posit": 9, "possibl": [5, 6, 20, 21], "possibli": [5, 6], "post": 4, "power": 21, "pre": [7, 23, 25, 27], "prebuilt": 23, "precis": 24, "prefac": [9, 10], "prefix": [6, 10], "prepackag": 23, "prepar": [19, 25], "preplac": 6, "prerequisit": 24, "preselect_i0": 19, "preselect_i1": 19, "presenc": 10, "present": [6, 10, 19, 21], "previou": 24, "primarili": 19, "primit": [19, 21], "print": 6, "prjxray_db": [6, 10], "probabl": 10, "problem": 25, "proc_": 19, "proce": 25, "procedur": [5, 17, 20, 23], "proceed": 27, "process": [18, 19, 20, 21, 22, 23, 24, 28], "processor": 27, "prod_meta": 10, "produc": [4, 5, 6, 7, 10, 17, 21, 24], "product": [6, 10, 11, 23], "proggram": 7, "program": [9, 19, 20, 23, 24, 26], "progress": [6, 7, 23, 24], "project": [0, 4, 7, 9, 18, 19, 23, 24, 25, 26, 27, 28], "promis": 10, "propag": 21, "proper": 20, "properti": 25, "prove": 22, "provid": [2, 4, 5, 7, 9, 10, 11, 19, 20, 21, 23, 24, 25], "public": 23, "purpos": 6, "put": [6, 25], "py": [5, 24], "pydoc": 5, "python": [0, 2, 4, 5, 6, 7, 10, 23, 24, 27], "python3": [3, 6, 10], "q": 19, "ql": 2, "qlf": [2, 28], "qualifi": 17, "queri": 5, "question": [6, 9, 10], "quicklog": [0, 2, 5, 6, 8, 26, 28], "quickstart": 23, "quit": 22, "r": [6, 19], "r1": 27, "r10": 27, "r11": 27, "r12": 27, "r13": 27, "r14": 27, "r15": 27, "r16": 27, "r17": 27, "r18": 27, "r19": 27, "r2": 27, "r20": 27, "r21": 27, "r22": 27, "r23": 27, "r3": 27, "r4": 27, "r5": 27, "r6": 27, "r7": 27, "r8": 27, "r9": 27, "radpidli": 23, "rai": [6, 10, 23, 25, 28], "rais": 10, "ram": [22, 28], "rather": [5, 10], "re": [0, 6], "reach": 0, "read": [0, 5, 6, 10, 17, 23], "read_verilog": 17, "read_verilog_arg": 17, "readabl": 18, "readi": 23, "readm": 23, "real": 27, "realli": [6, 10, 22], "reason": [5, 10], "rebuild": [5, 6], "rebuilt": 6, "receiv": 6, "recent": 27, "recommend": [4, 6, 19, 26], "reconfigur": 27, "redesign": [5, 24], "redund": 10, "refer": [5, 6, 8, 9, 10, 17, 19, 23, 24, 26], "referenc": [5, 6, 10], "reflect": 24, "reg": 19, "regard": [5, 10], "regardless": 6, "regist": [0, 22, 28], "regularli": 5, "relat": [2, 3, 4, 19, 24], "releas": [2, 23], "relev": [5, 8, 25], "reli": [7, 10, 11, 24], "remain": 6, "remov": [6, 7, 9, 10, 11, 24], "renam": [2, 6, 11], "rename_produc": 11, "rename_tak": 11, "rename_valu": 11, "render": 27, "replac": [10, 19], "report": [4, 6], "repositori": [7, 19, 23], "repres": [10, 11, 21], "represent": [5, 19], "request": [6, 10], "requir": [2, 5, 6, 9, 10, 11, 17, 19, 23, 24], "resolut": [10, 24], "resolutionenvironemt": 5, "resolv": [6, 9, 10], "resourc": [5, 18, 19, 20, 21, 22, 25], "respect": [4, 10, 19], "respons": [19, 20], "rest": 19, "result": [6, 10, 18, 19, 21, 22, 24], "return": 10, "reusabl": 27, "rewritten": 22, "richard": 27, "right": 6, "root": 6, "rothman": 27, "rout": [6, 10, 18, 25, 26, 27, 28], "rovinski": 27, "rtl": 26, "run": [4, 5, 6, 17, 23, 24], "s0": 19, "s1": 19, "s3": [2, 6, 26, 28], "s3_wlcsp": 2, "sai": 6, "said": 6, "saligan": 27, "same": [4, 6, 19, 24], "satisfi": [5, 15], "save": [24, 25], "scale": 27, "scan": 6, "scenario": 6, "schema": [25, 26], "scope": [7, 10, 24], "scratch": 19, "script": [0, 2, 4, 5, 6, 7, 9, 10, 17, 19, 23, 24], "sdc": [4, 6], "sdf": 26, "second": [4, 19], "secondli": 22, "section": [4, 6, 10, 17, 19, 20], "see": [1, 6, 19, 23, 24, 25, 28], "seen": 19, "select": 24, "self": 10, "sensor": 28, "sep": 27, "separ": [4, 6, 19, 22, 25], "sequenc": 24, "sequenti": 6, "seri": [19, 25, 26, 28], "serial": 6, "serv": [12, 25], "set": [0, 2, 5, 6, 9, 10, 23, 24, 25], "setundef": 19, "setup": [5, 8, 17], "sever": [4, 19, 23, 25], "sfbuild": 5, "sha": 27, "share": [2, 5, 6, 7, 10, 24], "sharedir": [6, 10], "shell": [7, 23, 24], "sheng": 27, "shift": 28, "short": [6, 26], "should": [6, 8, 10, 17, 19, 23], "shouldn": 5, "show": [19, 23], "shown": [6, 24], "sign": 6, "signal": [19, 21], "silent": 6, "similar": [5, 20], "similarli": [5, 6, 10], "simpl": 20, "simpler": 22, "simplif": [19, 22], "simplifi": 22, "simul": [0, 22, 24, 25, 27], "sinc": [6, 19, 23, 24, 25], "singl": [2, 24], "singular": 10, "site": 19, "situat": [6, 20, 22], "size": 23, "skip": [6, 9, 10], "slack": 0, "smallest": 28, "snippet": [6, 10], "snyder": 27, "so": [4, 6, 22, 23, 25], "soc": 27, "soc46417": 27, "softwar": [0, 7, 24, 25, 27], "solut": [19, 21, 23, 26], "some": [5, 6, 10, 19, 20, 22, 23, 24, 25], "some_str": 10, "someth": [0, 4], "sort": 24, "sourc": [2, 4, 6, 7, 10, 17, 19, 20, 23, 24, 25, 26, 27], "space": 6, "special": [10, 19], "specif": [4, 5, 6, 8, 10, 17, 19, 22, 24, 25], "specifi": [4, 6, 10, 11, 12, 17, 18, 21, 24], "sphinx": [26, 27], "spinalhdl": [24, 25], "sr_gnd": 19, "stage": [5, 6, 9, 10, 17, 21, 25], "stage_nam": 9, "stageinfo": 6, "stai": 6, "stallman": 27, "stand": 22, "standard": [19, 24, 25], "start": [19, 25, 26], "state": 19, "statement": [22, 24], "statu": [5, 6, 24], "stdout": [6, 9], "step": [4, 18, 19, 20, 21, 22, 23, 24, 25], "stephen": 27, "still": [6, 7, 10], "storag": 6, "store": [6, 10, 19], "str": 10, "string": [6, 8, 9, 10, 11, 17], "strong": 6, "strongli": [7, 24], "structur": [6, 10, 19, 26], "style": [5, 27], "subckt": 19, "subdir": [2, 23], "subflow": 24, "subject": [7, 24], "submodul": 2, "substitut": [19, 22], "subsystem": [19, 24, 26], "success": [6, 17, 23], "suffici": 19, "suffix": [10, 24], "suggest": [5, 7, 24], "suit": [26, 27], "suitabl": 18, "summar": 23, "support": [2, 6, 10, 11, 15, 19, 22, 23, 24, 26], "suppress": 6, "sure": [0, 10], "surelog": 27, "switch_top": 4, "symbiflow": [2, 5, 23, 26, 27], "symbiflow_": [2, 4], "symbiflow_rout": 4, "symbiflow_write_fasm": 4, "symbiyosi": 27, "symbol": [5, 6, 10, 27], "synopsi": 6, "syntax": [5, 10], "synth": [2, 6, 8, 10, 19], "synth_ice40": 19, "synth_json": [6, 17], "synth_log": [6, 17], "synth_xilinx": 19, "synthes": [4, 19, 21, 22, 27], "synthesi": [6, 17, 20, 21, 25, 26, 27], "syst": 27, "system": [0, 3, 6, 10, 15, 23], "systemc": 27, "systemverilog": 27, "t": [4, 5, 6, 10], "tabl": [19, 22], "take": [4, 5, 6, 10, 11, 19, 21, 23], "taken": [6, 22], "takeshi": 27, "tar": 2, "tarbal": [2, 23], "target": [5, 8, 9, 10, 12, 17, 21, 23, 24, 25, 26], "target_nam": 6, "task": [0, 18, 21, 23, 24], "tcl": [0, 2, 17, 19], "tcl_script": 17, "techmap": [19, 25], "technol": 27, "technologi": [0, 26, 27], "term": [21, 22, 24], "termin": 24, "test": [7, 19, 26], "testbench": 24, "text": [6, 24], "than": [4, 10], "thei": [5, 6, 9, 10, 17, 19, 20, 22, 23, 25], "them": [7, 10, 19, 20, 21, 25, 26], "theme": 26, "therefor": [7, 19, 23, 24], "thi": [0, 4, 5, 6, 7, 9, 10, 11, 12, 13, 14, 15, 16, 17, 19, 20, 21, 22, 23, 24, 25], "thibedeau": 27, "think": 26, "those": [4, 5, 6, 10, 21, 23, 25], "three": [4, 11, 23, 25], "threefold": 7, "through": [5, 6, 7, 10, 23, 24, 25], "thu": [9, 25], "tile": [21, 28], "tim": 27, "time": [0, 4, 5, 6, 19, 21, 25, 26, 27], "timestamp": 23, "tinyfpgab": 23, "tinyprog": 23, "tl": 19, "todo": 26, "togeth": [6, 10, 19], "toni": 27, "too": 4, "tool": [0, 4, 5, 6, 7, 19, 20, 21, 24, 25, 26, 27], "toolchain": [4, 5, 6, 7, 20, 24, 25, 26, 27], "top": [4, 6, 19, 24], "top_exampl": 4, "top_fasm_extra": 6, "topolog": 24, "toronto": 0, "track": [2, 6, 23, 24], "tran": 27, "transfer": 22, "translat": [19, 25, 26], "treat": [5, 6, 10], "trelli": [23, 25, 28], "tri": [5, 10, 21], "tristan": 27, "trivial": 20, "true": [6, 9], "try": 6, "ttyusb0": 23, "tutori": 24, "tutu": 27, "tweak": 23, "twitter": 0, "two": [4, 6, 9, 10, 19, 23], "txt": 5, "type": [6, 10, 19, 25], "typic": [3, 6, 10, 21, 23, 24, 25], "u": [0, 19, 28], "uhdm": 27, "umbrella": 25, "unchang": 6, "under": [0, 6, 10, 13, 14, 15, 16, 24, 26], "understand": [19, 23, 25, 26], "understood": 18, "undriven": 19, "unifi": 6, "uniqu": 6, "unit": 24, "univers": [0, 19, 22, 23, 27], "unix": 27, "unknown": 5, "unless": [6, 10], "unlik": [6, 10], "unmodifi": 5, "unnam": 9, "unresolv": 6, "unsupport": 6, "unsur": 6, "until": [7, 24], "up": [0, 5, 6, 19, 22, 23], "updat": [7, 24, 26], "upon": 17, "uppercas": 24, "url": 27, "us": [0, 2, 3, 4, 5, 8, 9, 10, 17, 18, 19, 20, 21, 22, 23, 24, 25], "usabl": [2, 27], "usag": [4, 7, 26], "user": [0, 4, 5, 7, 9, 10, 19, 21, 23, 24, 25], "usr": 3, "usual": [10, 19, 21, 22], "util": [4, 5, 7, 22, 23, 24], "v": [4, 6], "val": 6, "valid": 19, "valu": [5, 9, 10, 12, 19, 26], "valuabl": 4, "value1": 6, "value2": 6, "value3": 6, "value_nam": [6, 10], "valueexpr": 6, "vari": [17, 21, 22, 23], "variabl": [2, 8, 9, 17, 23, 24], "variable_nam": [5, 10], "variant": 24, "varieti": [19, 20, 23], "variou": [4, 6, 19, 21, 23, 25, 26], "vaughn": 27, "vcc": 19, "vendor": [0, 19, 22, 23, 24, 25], "verbos": 6, "veri": [10, 27], "veribl": 27, "verif": 27, "veril": 27, "verilog": [0, 4, 6, 10, 17, 19, 22, 24, 25, 26, 27], "veripool": 27, "versa": [23, 26], "versatil": [4, 19], "version": [6, 19, 23], "vhdl": [0, 24, 25, 27], "vice": [23, 26], "view": 19, "viewer": [26, 27], "vikram": 27, "virtual": [1, 6, 26], "visibl": 11, "visual": [23, 26], "visualis": 26, "vivado": 19, "vlsi": 27, "vpr": [0, 2, 4, 6, 8, 13, 14, 15, 16, 23, 26, 27], "vpr_option": 6, "vprpath": 2, "vtop": 6, "vtr": [19, 27], "w3": 19, "wa": [5, 6, 19, 25], "wai": [9, 10, 11, 17, 20, 22], "walk": 23, "walker": 27, "wang": 27, "want": [5, 6, 23, 28], "warn": 6, "watch": 19, "wave": 27, "wavedrom": 27, "we": [0, 6, 27], "web": 7, "websit": 19, "welcom": 0, "well": [4, 5, 6, 10, 20, 23, 25], "were": [2, 5, 6, 19], "wg": 0, "what": [6, 17, 20, 25], "when": [5, 6, 9, 10, 19, 24], "where": [6, 25, 26], "wherea": 3, "whether": [5, 6], "which": [2, 3, 4, 5, 6, 9, 10, 19, 22, 23, 24, 25, 26, 27], "while": [0, 12], "who": [0, 5, 23, 27], "whole": [1, 7, 19, 20, 21, 22, 23, 24], "whose": [6, 11], "width": 19, "william": 27, "willing": 23, "wilson": 27, "win32": 27, "wire": 19, "within": [6, 10, 17, 19, 24, 25], "withing": [10, 17], "without": [6, 10, 23, 24], "wolf": 27, "won": [6, 10], "work": [4, 5, 6, 7, 9, 10, 21, 23, 24, 26], "workflow": [0, 23, 25], "workgroup": [0, 24, 26], "workshop": 27, "workspac": 0, "world": [27, 28], "worth": [10, 19, 22], "woset": 27, "would": [4, 6, 10, 25], "wrap": [6, 11, 24], "wrapper": [2, 4, 6, 7, 24], "write": [4, 5, 6, 10, 19], "write_bitstream": 4, "write_blif": 19, "write_fasm": 4, "write_verilog": 19, "written": [0, 5, 19, 22], "wu": 27, "www": [19, 27], "x": [4, 6, 10, 23, 25, 28], "x7a100t": 6, "x7a200t": 6, "x7a35t": 6, "x7a50t": 6, "xc7": [2, 5, 6, 23], "xc7a100t_test": 4, "xc7a35t_test": 4, "xc7a35tcpg236": 4, "xc7a35tcsg324": [4, 6], "xc7a50t": 6, "xc7a50t_test": [2, 4], "xdc": [4, 6], "xi": 19, "xilinx": [0, 2, 6, 19, 25, 26, 28], "xinclud": 19, "xml": [0, 6, 19, 26], "xmln": 19, "xxx": 19, "xz": 2, "yaml": 24, "ye": [6, 28], "yet": 10, "yml": 23, "yosi": [2, 4, 6, 17, 23, 25, 26, 27], "yosys_tcl_env": 17, "yosyshq": 27, "you": [0, 3, 4, 6, 9, 10, 19, 23, 28], "your": [0, 3, 4, 6, 10, 23], "zeller": 27, "zeng": 27, "zero": 19, "zhong": 27, "zini": 19, "zinv_ce0": 19, "zinv_ce1": 19, "zinv_s0": 19, "zinv_s1": 19, "zpreselect_i0": 19, "zpreselect_i1": 19, "zynq7": 4}, "titles": ["Community", "Building the documentation", "Changes", "Packages in virtual environment", "Understanding the (deprecated) flow", "Developer\u2019s notes", "Usage", "Overview", "fasm", "generic_script_wrapper", "Modules", "io_rename", "mkdirs", "pack", "place", "place_constraints", "route", "synth", "Bitstream translation", "In F4PGA", "Introduction", "Place & Route", "Synthesis", "Getting started", "Glossary", "How it works", "FOSS Flows For FPGA", "References", "Supported Architectures"], "titleterms": {"": [5, 6, 10], "05": 5, "06": 5, "1": 2, "2": 2, "2022": 5, "3": 2, "4": 2, "5": 2, "6": 2, "7": 2, "8": 2, "For": 26, "In": 19, "about": 26, "all": 6, "analysi": [19, 21], "appendix": 26, "architectur": 28, "avail": 6, "bitstream": [4, 18, 23, 28], "board": 28, "build": [1, 5, 6], "built": 6, "bump": 23, "chang": 2, "class": 10, "command": 6, "common": [6, 10], "commun": 0, "concept": 6, "conda": 23, "configur": [6, 10], "contain": 23, "content": 26, "contribut": 0, "current": 5, "decor": 10, "definit": 6, "depend": [5, 6], "deprec": 4, "descript": 19, "design": 26, "develop": [5, 26], "differ": 5, "displai": 6, "document": [1, 28], "environ": [2, 3], "environment": [5, 10], "exec": 10, "execut": 10, "extra": 11, "f4cach": 6, "f4pga": [6, 19, 26], "fasm": 8, "file": 6, "find": 5, "flow": [4, 6, 26], "foss": 26, "fpga": 26, "fundament": 6, "futur": 2, "gener": [4, 22], "generic_script_wrapp": 9, "get": [6, 23], "global": 6, "glossari": 24, "guidelin": 23, "how": 25, "info": 6, "inform": 19, "initi": 10, "instal": [5, 23], "instanti": 10, "interfac": [6, 10], "intern": [5, 10], "introduct": 20, "io_renam": 11, "last": 5, "level": 10, "line": 6, "load": 23, "map": [10, 19, 22], "mkdir": 12, "mode": [6, 10], "modif": 5, "modul": [6, 10], "more": 19, "most": 6, "need": 6, "nextpnr": 19, "note": [5, 11], "openfpgaload": 23, "optim": 22, "option": 6, "other": 23, "out": 5, "output": 19, "overrid": 23, "overview": 7, "pack": [4, 13, 21], "packag": 3, "paramet": [9, 11, 12, 17], "place": [4, 14, 19, 21], "place_constraint": 15, "platform": [6, 10], "pretend": 6, "project": [5, 6, 10], "provid": 6, "python": 26, "qualifi": 10, "recommend": 23, "refer": [7, 27], "resolut": [5, 6], "rout": [4, 16, 19, 21], "rtl": 22, "scope": 5, "short": 19, "showd": 6, "sourc": 0, "specif": [23, 26], "start": [6, 23], "structur": 5, "sub": 6, "subsystem": 5, "summari": 6, "support": 28, "symbiflow_pack": 4, "symbiflow_plac": 4, "symbiflow_synth": 4, "symbiflow_write_bitstream": 4, "synth": 17, "synthesi": [4, 19, 22], "system": 5, "tabl": 26, "target": 6, "technologi": [19, 22], "test": 2, "them": 5, "todo": 5, "tool": 23, "toolchain": [19, 23], "track": 5, "translat": 18, "understand": 4, "updat": 5, "us": 6, "usag": [6, 19, 23], "user": 6, "util": 26, "valu": [6, 8, 11, 17], "variabl": [5, 10], "virtual": 3, "vpr": 19, "where": 5, "work": [2, 25], "yosi": 19}})