diff --git a/litex_boards/platforms/qmtech_artix7_fbg484.py b/litex_boards/platforms/qmtech_artix7_fbg484.py index 5fa2f5b..b5009d7 100644 --- a/litex_boards/platforms/qmtech_artix7_fbg484.py +++ b/litex_boards/platforms/qmtech_artix7_fbg484.py @@ -161,16 +161,17 @@ class Platform(Xilinx7SeriesPlatform): connectors += daughterboard.connectors Xilinx7SeriesPlatform.__init__(self, device, io, connectors, toolchain=toolchain) + self.toolchain.bitstream_commands = \ ["set_property BITSTREAM.CONFIG.SPI_BUSWIDTH 4 [current_design]", - "set_property BITSTREAM.GENERAL.COMPRESS TRUE [current_design]"] + "set_property BITSTREAM.GENERAL.COMPRESS TRUE [current_design]"] self.toolchain.additional_commands = \ ["write_cfgmem -force -format bin -interface spix4 -size 16 " - "-loadbit \"up 0x0 {build_name}.bit\" -file {build_name}.bin"] + "-loadbit \"up 0x0 {build_name}.bit\" -file {build_name}.bin"] + self.add_platform_command("set_property INTERNAL_VREF 0.750 [get_iobanks 16]") self.add_platform_command("set_property CFGBVS VCCO [current_design]") self.add_platform_command("set_property CONFIG_VOLTAGE 3.3 [current_design]") - self.toolchain.f4pga_device = device def create_programmer(self): bscan_spi = f"bscan_spi_xc7a{self.kgates}t.bit" diff --git a/litex_boards/platforms/qmtech_artix7_fgg676.py b/litex_boards/platforms/qmtech_artix7_fgg676.py index 73156e3..79e2b01 100644 --- a/litex_boards/platforms/qmtech_artix7_fgg676.py +++ b/litex_boards/platforms/qmtech_artix7_fgg676.py @@ -161,16 +161,17 @@ class Platform(Xilinx7SeriesPlatform): connectors += daughterboard.connectors Xilinx7SeriesPlatform.__init__(self, device, io, connectors, toolchain=toolchain) + self.toolchain.bitstream_commands = \ ["set_property BITSTREAM.CONFIG.SPI_BUSWIDTH 4 [current_design]", - "set_property BITSTREAM.GENERAL.COMPRESS TRUE [current_design]"] + "set_property BITSTREAM.GENERAL.COMPRESS TRUE [current_design]"] self.toolchain.additional_commands = \ ["write_cfgmem -force -format bin -interface spix4 -size 16 " - "-loadbit \"up 0x0 {build_name}.bit\" -file {build_name}.bin"] + "-loadbit \"up 0x0 {build_name}.bit\" -file {build_name}.bin"] + self.add_platform_command("set_property INTERNAL_VREF 0.750 [get_iobanks 16]") self.add_platform_command("set_property CFGBVS VCCO [current_design]") self.add_platform_command("set_property CONFIG_VOLTAGE 3.3 [current_design]") - self.toolchain.f4pga_device = device def create_programmer(self): bscan_spi = f"bscan_spi_xc7a{self.kgates}t.bit" diff --git a/litex_boards/platforms/qmtech_wukong.py b/litex_boards/platforms/qmtech_wukong.py index 618a10b..2fe213f 100644 --- a/litex_boards/platforms/qmtech_wukong.py +++ b/litex_boards/platforms/qmtech_wukong.py @@ -207,11 +207,13 @@ class Platform(Xilinx7SeriesPlatform): else: io.extend(_io_v2) Xilinx7SeriesPlatform.__init__(self, "xc7a100t{}fgg676".format(speed_grade), io, _connectors, toolchain=toolchain) + self.toolchain.bitstream_commands = \ ["set_property BITSTREAM.CONFIG.SPI_BUSWIDTH 4 [current_design]"] self.toolchain.additional_commands = \ ["write_cfgmem -force -format bin -interface spix4 -size 16 " - "-loadbit \"up 0x0 {build_name}.bit\" -file {build_name}.bin"] + "-loadbit \"up 0x0 {build_name}.bit\" -file {build_name}.bin"] + self.add_platform_command("set_property INTERNAL_VREF 0.675 [get_iobanks 16]") if board_version < 2: self.add_platform_command("set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets clk50_IBUF]") diff --git a/litex_boards/platforms/qmtech_xc7a35t.py b/litex_boards/platforms/qmtech_xc7a35t.py index 3a50ca9..84be625 100644 --- a/litex_boards/platforms/qmtech_xc7a35t.py +++ b/litex_boards/platforms/qmtech_xc7a35t.py @@ -159,15 +159,16 @@ class Platform(Xilinx7SeriesPlatform): io += self.core_resources Xilinx7SeriesPlatform.__init__(self, device, io, connectors, toolchain=toolchain) + self.toolchain.bitstream_commands = \ ["set_property BITSTREAM.CONFIG.SPI_BUSWIDTH 4 [current_design]"] self.toolchain.additional_commands = \ ["write_cfgmem -force -format bin -interface spix4 -size 16 " - "-loadbit \"up 0x0 {build_name}.bit\" -file {build_name}.bin"] + "-loadbit \"up 0x0 {build_name}.bit\" -file {build_name}.bin"] + self.add_platform_command("set_property INTERNAL_VREF 0.675 [get_iobanks 15]") self.add_platform_command("set_property CFGBVS VCCO [current_design]") self.add_platform_command("set_property CONFIG_VOLTAGE 3.3 [current_design]") - self.toolchain.f4pga_device = device def create_programmer(self): bscan_spi = "bscan_spi_xc7a35t.bit" diff --git a/litex_boards/platforms/qmtech_xc7k325t.py b/litex_boards/platforms/qmtech_xc7k325t.py index 0b34ce1..afb0230 100644 --- a/litex_boards/platforms/qmtech_xc7k325t.py +++ b/litex_boards/platforms/qmtech_xc7k325t.py @@ -165,6 +165,12 @@ class Platform(XilinxPlatform): XilinxPlatform.__init__(self, device, io, connectors, toolchain=toolchain) + self.toolchain.bitstream_commands = \ + ["set_property BITSTREAM.CONFIG.SPI_BUSWIDTH 4 [current_design]"] + self.toolchain.additional_commands = \ + ["write_cfgmem -force -format bin -interface spix4 -size 16 " + "-loadbit \"up 0x0 {build_name}.bit\" -file {build_name}.bin"] + self.add_platform_command("set_property INTERNAL_VREF 0.750 [get_iobanks 34]") self.add_platform_command("set_property INTERNAL_VREF 0.90 [get_iobanks 33]")