From 400492e234cd2b257e726997a023ddcbc4d7bc3f Mon Sep 17 00:00:00 2001 From: Florent Kermarrec Date: Fri, 28 Feb 2020 08:32:29 +0100 Subject: [PATCH] lattice/yosys: don't use quiet operation since logs are useful and for consistency with others build backends. --- litex/build/lattice/icestorm.py | 2 +- litex/build/lattice/trellis.py | 2 +- 2 files changed, 2 insertions(+), 2 deletions(-) diff --git a/litex/build/lattice/icestorm.py b/litex/build/lattice/icestorm.py index 51d6b6949..05b3912cb 100644 --- a/litex/build/lattice/icestorm.py +++ b/litex/build/lattice/icestorm.py @@ -88,7 +88,7 @@ def parse_device(device): # Script ------------------------------------------------------------------------------------------- _build_template = [ - "yosys -q -l {build_name}.rpt {build_name}.ys", + "yosys -l {build_name}.rpt {build_name}.ys", "nextpnr-ice40 --json {build_name}.json --pcf {build_name}.pcf --asc {build_name}.txt \ --pre-pack {build_name}_pre_pack.py --{architecture} --package {package}", "icepack {build_name}.txt {build_name}.bin" diff --git a/litex/build/lattice/trellis.py b/litex/build/lattice/trellis.py index 7244f2835..1bd84adbe 100644 --- a/litex/build/lattice/trellis.py +++ b/litex/build/lattice/trellis.py @@ -111,7 +111,7 @@ nextpnr_ecp5_architectures = { # Script ------------------------------------------------------------------------------------------- _build_template = [ - "yosys -q -l {build_name}.rpt {build_name}.ys", + "yosys -l {build_name}.rpt {build_name}.ys", "nextpnr-ecp5 --json {build_name}.json --lpf {build_name}.lpf --textcfg {build_name}.config \ --{architecture} --package {package} --speed {speed_grade} {timefailarg} {ignoreloops}", "ecppack {build_name}.config --svf {build_name}.svf --bit {build_name}.bit"