diff --git a/litex/build/xilinx/vivado.py b/litex/build/xilinx/vivado.py index 01fd8075b..f22b44c92 100644 --- a/litex/build/xilinx/vivado.py +++ b/litex/build/xilinx/vivado.py @@ -272,7 +272,7 @@ class XilinxVivadoToolchain: self.false_paths.add((from_, to)) def vivado_build_args(parser): - parser.add_argument("--synth-mode", default=None, help="synthesis mode (vivado or yosys)") + parser.add_argument("--synth-mode", default="vivado", help="synthesis mode (vivado or yosys)") def vivado_build_argdict(args):