diff --git a/litex/build/quicklogic/symbiflow.py b/litex/build/quicklogic/f4pga.py similarity index 95% rename from litex/build/quicklogic/symbiflow.py rename to litex/build/quicklogic/f4pga.py index 305de4a5b..c8a873bfd 100644 --- a/litex/build/quicklogic/symbiflow.py +++ b/litex/build/quicklogic/f4pga.py @@ -18,7 +18,6 @@ from litex.build.quicklogic import common # IO Constraints (.pcf) ---------------------------------------------------------------------------- - def _format_io_pcf(signame, pin, others): r = f"set_io {signame} {Pins(pin).identifiers[0]}\n" return r @@ -78,9 +77,10 @@ def _run_make(): raise OSError("Error occured during QuickLogic Symbiflow's script execution.") -# SymbiflowToolchain ------------------------------------------------------------------------------- +# F4PGAToolchain ------------------------------------------------------------------------------- +# Formerly SymbiflowToolchain, Symbiflow has been renamed to F4PGA ----------------------------- -class SymbiflowToolchain: +class F4PGAToolchain: attr_translate = {} special_overrides = common.quicklogic_special_overrides diff --git a/litex/build/quicklogic/platform.py b/litex/build/quicklogic/platform.py index fbd200cb2..8142f22d4 100644 --- a/litex/build/quicklogic/platform.py +++ b/litex/build/quicklogic/platform.py @@ -7,17 +7,17 @@ import os from litex.build.generic_platform import GenericPlatform -from litex.build.quicklogic import common, symbiflow +from litex.build.quicklogic import common, f4pga # QuickLogicPlatform ------------------------------------------------------------------------------- class QuickLogicPlatform(GenericPlatform): bitstream_ext = ".bit" - def __init__(self, device, *args, toolchain="symbiflow", **kwargs): - GenericPlatform.__init__(self, device, *args, **kwargs) - if toolchain == "symbiflow": - self.toolchain = symbiflow.SymbiflowToolchain() + def __init__(self, *args, toolchain="f4pga", **kwargs): + GenericPlatform.__init__(self, *args, **kwargs) + if toolchain == "symbiflow" or toolchain == "f4pga": + self.toolchain = f4pga.F4PGAToolchain() else: raise ValueError(f"Unknown toolchain {toolchain}")