diff --git a/litex/soc/cores/cpu/microwatt/core.py b/litex/soc/cores/cpu/microwatt/core.py index f1ed382f2..c613d4783 100644 --- a/litex/soc/cores/cpu/microwatt/core.py +++ b/litex/soc/cores/cpu/microwatt/core.py @@ -172,12 +172,13 @@ class Microwatt(CPU): # Instruction/Data Cache. "cache_ram.vhdl", - "plru.vhdl", + "plrufn.vhdl", "dcache.vhdl", "icache.vhdl", # Decode. "insn_helpers.vhdl", + "predecode.vhdl", "decode1.vhdl", "control.vhdl", "decode2.vhdl", @@ -219,8 +220,10 @@ class Microwatt(CPU): from litex.build.xilinx import XilinxPlatform if isinstance(platform, XilinxPlatform) and not use_ghdl_yosys_plugin: sources.append("xilinx-mult.vhdl") + sources.append("xilinx-mult-32s.vhdl") else: sources.append("multiply.vhdl") + sources.append("multiply-32s.vhdl") sdir = get_data_mod("cpu", "microwatt").data_location cdir = os.path.dirname(__file__) self.cpu_vhd2v_converter.add_sources(sdir, *sources) diff --git a/litex_setup.py b/litex_setup.py index 7972f8b38..f1deedba8 100755 --- a/litex_setup.py +++ b/litex_setup.py @@ -112,7 +112,7 @@ git_repos = { "pythondata-cpu-marocchino": GitRepo(url="https://github.com/litex-hub/"), # OpenPower CPU(s). - "pythondata-cpu-microwatt": GitRepo(url="https://github.com/litex-hub/", sha1=0xb940b55acff), + "pythondata-cpu-microwatt": GitRepo(url="https://github.com/litex-hub/", sha1=0xb4986b23af6), # RISC-V CPU(s). "pythondata-cpu-blackparrot": GitRepo(url="https://github.com/litex-hub/"),