# This file is Copyright (c) 2014 Florent Kermarrec # License: BSD import os, subprocess, sys from migen.fhdl.std import * from migen.fhdl.structure import _Fragment from mibuild.generic_platform import * from mibuild import tools from mibuild.xilinx import common def _format_constraint(c): if isinstance(c, Pins): return "set_property LOC " + c.identifiers[0] elif isinstance(c, IOStandard): return "set_property IOSTANDARD " + c.name elif isinstance(c, Drive): return "set_property DRIVE " + str(c.strength) elif isinstance(c, Misc): return "set_property " + c.misc.replace("=", " ") def _format_xdc(signame, pin, others, resname): fmt_c = [_format_constraint(c) for c in ([Pins(pin)] + others)] fmt_r = resname[0] + ":" + str(resname[1]) if resname[2] is not None: fmt_r += "." + resname[2] r = " ## %s\n" %fmt_r for c in fmt_c: r += c + " [get_ports " + signame + "]\n" return r def _build_xdc(named_sc, named_pc): r = "" for sig, pins, others, resname in named_sc: if len(pins) > 1: for i, p in enumerate(pins): r += _format_xdc(sig + "[" + str(i) + "]", p, others, resname) else: r += _format_xdc(sig, pins[0], others, resname) if named_pc: r += "\n" + "\n\n".join(named_pc) return r def _build_files(device, sources, vincpaths, build_name, bitstream_commands, additional_commands): tcl = [] for filename, language in sources: tcl.append("add_files " + filename.replace("\\", "/")) tcl.append("read_xdc %s.xdc" %build_name) tcl.append("synth_design -top top -part %s -include_dirs {%s}" %(device, " ".join(vincpaths))) tcl.append("report_utilization -hierarchical -file %s_utilization_hierarchical_synth.rpt" %(build_name)) tcl.append("report_utilization -file %s_utilization_synth.rpt" %(build_name)) tcl.append("place_design") tcl.append("report_utilization -hierarchical -file %s_utilization_hierarchical_place.rpt" %(build_name)) tcl.append("report_utilization -file %s_utilization_place.rpt" %(build_name)) tcl.append("report_io -file %s_io.rpt" %(build_name)) tcl.append("report_control_sets -verbose -file %s_control_sets.rpt" %(build_name)) tcl.append("report_clock_utilization -file %s_clock_utilization.rpt" %(build_name)) tcl.append("route_design") tcl.append("report_route_status -file %s_route_status.rpt" %(build_name)) tcl.append("report_drc -file %s_drc.rpt" %(build_name)) tcl.append("report_timing_summary -max_paths 10 -file %s_timing.rpt" %(build_name)) tcl.append("report_power -file %s_power.rpt" %(build_name)) for bitstream_command in bitstream_commands: tcl.append(bitstream_command.format(build_name=build_name)) tcl.append("write_bitstream -force %s.bit " %build_name) for additional_command in additional_commands: tcl.append(additional_command.format(build_name=build_name)) tcl.append("quit") tools.write_to_file(build_name + ".tcl", "\n".join(tcl)) def _run_vivado(build_name, vivado_path, source, ver=None): if sys.platform == "win32" or sys.platform == "cygwin": build_script_contents = "REM Autogenerated by mibuild\n" build_script_contents += "vivado -mode batch -source " + build_name + ".tcl\n" build_script_file = "build_" + build_name + ".bat" tools.write_to_file(build_script_file, build_script_contents) r = subprocess.call([build_script_file]) else: build_script_contents = "# Autogenerated by mibuild\nset -e\n" settings = common.settings(vivado_path, ver) build_script_contents += "source " + settings + "\n" build_script_contents += "vivado -mode batch -source " + build_name + ".tcl\n" build_script_file = "build_" + build_name + ".sh" tools.write_to_file(build_script_file, build_script_contents) r = subprocess.call(["bash", build_script_file]) if r != 0: raise OSError("Subprocess failed") class XilinxVivadoPlatform(common.XilinxGenericPlatform): def __init__(self, *args, **kwargs): common.XilinxGenericPlatform.__init__(self, *args, **kwargs) self.bitstream_commands = [] self.additional_commands = [] def build(self, fragment, build_dir="build", build_name="top", vivado_path="/opt/Xilinx/Vivado", source=True, run=True): tools.mkdir_noerror(build_dir) os.chdir(build_dir) if not isinstance(fragment, _Fragment): fragment = fragment.get_fragment() self.finalize(fragment) v_src, vns = self.get_verilog(fragment) named_sc, named_pc = self.resolve_signals(vns) v_file = build_name + ".v" tools.write_to_file(v_file, v_src) sources = self.sources + [(v_file, "verilog")] _build_files(self.device, sources, self.verilog_include_paths, build_name, self.bitstream_commands, self.additional_commands) tools.write_to_file(build_name + ".xdc", _build_xdc(named_sc, named_pc)) if run: _run_vivado(build_name, vivado_path, source) os.chdir("..") return vns def add_period_constraint(self, clk, period): self.add_platform_command("""create_clock -name {clk} -period """ +\ str(period) + """ [get_ports {clk}]""", clk=clk)