# # Clocks # create_clock -period 50MHz [get_ports in_clk]