From 072e5ca2c5f826fa263d31b808774e18bc02abd0 Mon Sep 17 00:00:00 2001 From: Clifford Wolf Date: Mon, 8 Jun 2015 09:31:56 +0200 Subject: [PATCH] Added osu018 yosys synthesis script --- scripts/{ => vivado}/.gitignore | 0 scripts/{ => vivado}/synth_vivado.tcl | 2 +- scripts/{ => vivado}/synth_vivado.xdc | 0 scripts/{ => vivado}/synth_vivado_soc.v | 0 scripts/yosys/.gitignore | 1 + scripts/yosys/synth_osu018.sh | 8 ++++++++ 6 files changed, 10 insertions(+), 1 deletion(-) rename scripts/{ => vivado}/.gitignore (100%) rename scripts/{ => vivado}/synth_vivado.tcl (92%) rename scripts/{ => vivado}/synth_vivado.xdc (100%) rename scripts/{ => vivado}/synth_vivado_soc.v (100%) create mode 100644 scripts/yosys/.gitignore create mode 100644 scripts/yosys/synth_osu018.sh diff --git a/scripts/.gitignore b/scripts/vivado/.gitignore similarity index 100% rename from scripts/.gitignore rename to scripts/vivado/.gitignore diff --git a/scripts/synth_vivado.tcl b/scripts/vivado/synth_vivado.tcl similarity index 92% rename from scripts/synth_vivado.tcl rename to scripts/vivado/synth_vivado.tcl index 1685721..386a070 100644 --- a/scripts/synth_vivado.tcl +++ b/scripts/vivado/synth_vivado.tcl @@ -2,7 +2,7 @@ # vivado -nojournal -log synth_vivado.log -mode batch -source synth_vivado.tcl read_verilog synth_vivado_soc.v -read_verilog ../picorv32.v +read_verilog ../../picorv32.v read_xdc synth_vivado.xdc synth_design -part xc7a15t-csg324 -top picorv32_axi diff --git a/scripts/synth_vivado.xdc b/scripts/vivado/synth_vivado.xdc similarity index 100% rename from scripts/synth_vivado.xdc rename to scripts/vivado/synth_vivado.xdc diff --git a/scripts/synth_vivado_soc.v b/scripts/vivado/synth_vivado_soc.v similarity index 100% rename from scripts/synth_vivado_soc.v rename to scripts/vivado/synth_vivado_soc.v diff --git a/scripts/yosys/.gitignore b/scripts/yosys/.gitignore new file mode 100644 index 0000000..d6fc3e3 --- /dev/null +++ b/scripts/yosys/.gitignore @@ -0,0 +1 @@ +osu018_stdcells.lib diff --git a/scripts/yosys/synth_osu018.sh b/scripts/yosys/synth_osu018.sh new file mode 100644 index 0000000..7a8693d --- /dev/null +++ b/scripts/yosys/synth_osu018.sh @@ -0,0 +1,8 @@ +#!/bin/bash +set -ex +if test ! -s osu018_stdcells.lib; then + wget --continue -O osu018_stdcells.lib.part http://vlsiarch.ecen.okstate.edu/flows/MOSIS_SCMOS/` + `latest/cadence/lib/tsmc018/signalstorm/osu018_stdcells.lib + mv osu018_stdcells.lib.part osu018_stdcells.lib +fi +yosys -p 'synth -top picorv32; dfflibmap -liberty osu018_stdcells.lib; abc -liberty osu018_stdcells.lib; stat' ../../picorv32.v