From 60fdba89d0ef7e46477e7611c6ad9772944430b2 Mon Sep 17 00:00:00 2001 From: Clifford Wolf Date: Fri, 26 Jun 2015 23:41:13 +0200 Subject: [PATCH] Updated vivado scripts --- scripts/vivado/synth_area.tcl | 6 ++---- scripts/vivado/synth_speed.tcl | 2 +- scripts/vivado/synth_speed.xdc | 2 +- 3 files changed, 4 insertions(+), 6 deletions(-) diff --git a/scripts/vivado/synth_area.tcl b/scripts/vivado/synth_area.tcl index 0acddb9..3f52644 100644 --- a/scripts/vivado/synth_area.tcl +++ b/scripts/vivado/synth_area.tcl @@ -2,13 +2,11 @@ read_verilog ../../picorv32.v read_xdc synth_area.xdc -synth_design -part xc7a15t-fgg484 -top picorv32_axi +synth_design -part xc7k70t-fbg676 -top picorv32_axi opt_design -place_design -route_design report_utilization -report_timing +# report_timing write_verilog -force synth_area.v diff --git a/scripts/vivado/synth_speed.tcl b/scripts/vivado/synth_speed.tcl index d951523..dc83ab1 100644 --- a/scripts/vivado/synth_speed.tcl +++ b/scripts/vivado/synth_speed.tcl @@ -2,7 +2,7 @@ read_verilog ../../picorv32.v read_xdc synth_speed.xdc -synth_design -part xc7a15t-fgg484 -top picorv32_axi +synth_design -part xc7k70t-fbg676 -top picorv32_axi opt_design place_design route_design diff --git a/scripts/vivado/synth_speed.xdc b/scripts/vivado/synth_speed.xdc index f2c7ea2..877ec8d 100644 --- a/scripts/vivado/synth_speed.xdc +++ b/scripts/vivado/synth_speed.xdc @@ -1 +1 @@ -create_clock -period 4.00 [get_ports clk] +create_clock -period 2.50 [get_ports clk]