upsilon/firmware/rtl/common.makefile

4 lines
160 B
Plaintext
Raw Normal View History

# Generate verilog from m4 file
%.v: %.v.m4
m4 -P --synclines $< | awk -v filename=$< '/^#line/ {printf("`line %d %s 0\n", $$2, filename); next} {print}' > $@