change pinout

This commit is contained in:
Peter McGoron 2022-07-14 15:10:58 -04:00
parent f6c90ff3d1
commit 592939f5bd
1 changed files with 12 additions and 12 deletions

View File

@ -108,14 +108,14 @@ io = [
Subsignal("sdo", Pins("T14")),
IOStandard("LVCMOS33")),
("adc", 2,
Subsignal("conv", Pins("T15")),
Subsignal("sck", Pins("T16")),
Subsignal("sdo", Pins("N15")),
Subsignal("conv", Pins("N15")),
Subsignal("sck", Pins("M16")),
Subsignal("sdo", Pins("V17")),
IOStandard("LVCMOS33")),
("adc", 3,
Subsignal("conv", Pins("M16")),
Subsignal("sck", Pins("V17")),
Subsignal("sdo", Pins("U18")),
Subsignal("conv", Pins("U18")),
Subsignal("sck", Pins("R17")),
Subsignal("sdo", Pins("P17")),
IOStandard("LVCMOS33")),
("adc", 4,
Subsignal("conv", Pins("U11")),
@ -128,14 +128,14 @@ io = [
Subsignal("sdo", Pins("R13")),
IOStandard("LVCMOS33")),
("adc", 6,
Subsignal("conv", Pins("R15")),
Subsignal("sck", Pins("P15")),
Subsignal("sdo", Pins("R16")),
Subsignal("conv", Pins("R16")),
Subsignal("sck", Pins("N16")),
Subsignal("sdo", Pins("N14")),
IOStandard("LVCMOS33")),
("adc", 7,
Subsignal("conv", Pins("N16")),
Subsignal("sck", Pins("N14")),
Subsignal("sdo", Pins("U17")),
Subsignal("conv", Pins("U17")),
Subsignal("sck", Pins("T18")),
Subsignal("sdo", Pins("R18")),
IOStandard("LVCMOS33"))
]