/* Copyright 2023 (C) Peter McGoron * This file is a part of Upsilon, a free and open source software project. * For license terms, refer to the files in `doc/copying` in the Upsilon * source distribution. */ `define SPI_MASTER_NO_WRITE /* verilator lint_off DECLFILENAME */ `include "spi_master.v"