/* Copyright 2023 (C) Peter McGoron * This file is a part of Upsilon, a free and open source software project. * For license terms, refer to the files in `doc/copying` in the Upsilon * source distribution. */ `define SPI_MASTER_SS_NAME spi_master_ss `define SPI_MASTER_NAME spi_master /* verilator lint_off DECLFILENAME */ `include "spi_master_ss_template.v"