From 0ce84e55a3e59654780194ad41925d8e4011fa78 Mon Sep 17 00:00:00 2001 From: Peter McGoron Date: Sat, 27 Jan 2024 23:10:47 -0500 Subject: [PATCH] fix typo --- spi_master_ss_wb.v | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/spi_master_ss_wb.v b/spi_master_ss_wb.v index 5f77bc8..ad1cac4 100644 --- a/spi_master_ss_wb.v +++ b/spi_master_ss_wb.v @@ -4,7 +4,7 @@ * CERN-OHL-W v2. */ -module spi_master_ss +module spi_master_ss_wb #( parameter BUS_WID = 32, /* Width of a request on the bus. */ parameter SELECT_WID = 4, /* Width of the wishbone byte select. */