diff --git a/tests/Makefile b/tests/Makefile index db36b8c..55a4e15 100644 --- a/tests/Makefile +++ b/tests/Makefile @@ -1,5 +1,5 @@ all: - for i in 00 01; do \ + for i in 00 01 10; do \ make -f run_mode.makefile MODE="$$i"; \ done diff --git a/tests/mode10.cpp b/tests/mode10.cpp new file mode 100644 index 0000000..17350d5 --- /dev/null +++ b/tests/mode10.cpp @@ -0,0 +1,3 @@ +#include "Vmode10.h" +using TopModule = Vmode10; +#include "write_read.cpp" diff --git a/tests/mode10.v b/tests/mode10.v new file mode 100644 index 0000000..7405ae4 --- /dev/null +++ b/tests/mode10.v @@ -0,0 +1,34 @@ +/* (c) Peter McGoron 2022 + * This Source Code Form is subject to the terms of the Mozilla Public + * License, v.2.0. If a copy of the MPL was not distributed with this + * file, You can obtain one at https://mozilla.org/MPL/2.0/. + */ + +module mode10 ( + input clk, + input [23:0] data_ctrl, + input activate, + input ss, + input rdy, + output master_finished +); + +spi_write_read +#( + .POLARITY(1), + .PHASE(0) +) base ( + .clk(clk), + .data_ctrl(data_ctrl), + .activate(activate), + .master_finished(master_finished), + .ss(ss), + .rdy(rdy) +); + +initial begin + $dumpfile("mode10.vcd"); + $dumpvars(); +end + +endmodule