From ea8ae2e872989a771e13aaefa82da0950b1a492d Mon Sep 17 00:00:00 2001 From: Peter McGoron Date: Thu, 21 Jul 2022 03:14:51 -0400 Subject: [PATCH] mode11 --- tests/Makefile | 2 +- tests/mode11.cpp | 3 +++ tests/mode11.v | 34 ++++++++++++++++++++++++++++++++++ 3 files changed, 38 insertions(+), 1 deletion(-) create mode 100644 tests/mode11.cpp create mode 100644 tests/mode11.v diff --git a/tests/Makefile b/tests/Makefile index 55a4e15..3101b65 100644 --- a/tests/Makefile +++ b/tests/Makefile @@ -1,5 +1,5 @@ all: - for i in 00 01 10; do \ + for i in 00 01 10 11; do \ make -f run_mode.makefile MODE="$$i"; \ done diff --git a/tests/mode11.cpp b/tests/mode11.cpp new file mode 100644 index 0000000..07c6bfe --- /dev/null +++ b/tests/mode11.cpp @@ -0,0 +1,3 @@ +#include "Vmode11.h" +using TopModule = Vmode11; +#include "write_read.cpp" diff --git a/tests/mode11.v b/tests/mode11.v new file mode 100644 index 0000000..3621681 --- /dev/null +++ b/tests/mode11.v @@ -0,0 +1,34 @@ +/* (c) Peter McGoron 2022 + * This Source Code Form is subject to the terms of the Mozilla Public + * License, v.2.0. If a copy of the MPL was not distributed with this + * file, You can obtain one at https://mozilla.org/MPL/2.0/. + */ + +module mode11 ( + input clk, + input [23:0] data_ctrl, + input activate, + input ss, + input rdy, + output master_finished +); + +spi_write_read +#( + .POLARITY(1), + .PHASE(1) +) base ( + .clk(clk), + .data_ctrl(data_ctrl), + .activate(activate), + .master_finished(master_finished), + .ss(ss), + .rdy(rdy) +); + +initial begin + $dumpfile("mode11.vcd"); + $dumpvars(); +end + +endmodule