This commit is contained in:
Peter McGoron 2024-02-20 20:34:10 -05:00
commit 4bcefafb69
6 changed files with 161 additions and 0 deletions

1
.gitignore vendored Normal file
View File

@ -0,0 +1 @@
obj_dir

24
COPYING Normal file
View File

@ -0,0 +1,24 @@
Copyright 2024 Peter McGoron
Redistribution and use in source and binary forms, with or without
modification, are permitted provided that the following conditions
are met:
1. Redistributions of source code must retain the above copyright
notice, this list of conditions and the following disclaimer.
2. Redistributions in binary form must reproduce the above copyright
notice, this list of conditions and the following disclaimer in the
documentation and/or other materials provided with the distribution.
THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
“AS IS” AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR
A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT
HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED
TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR
PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF
LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING
NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.

10
Makefile Normal file
View File

@ -0,0 +1,10 @@
VERILATOR_FLAGS = --cc --exe -x-assign fast -Wall
VERILATOR_INPUT = xorshift.v xorshift.cpp
obj_dir/Vxorshift: obj_dir/Vxorshift.mk obj_dir/Vxorshift.cpp \
obj_dir/Vxorshift.h xorshift.cpp
cd obj_dir && make -f Vxorshift.mk && ./Vxorshift
obj_dir/Vxorshift.mk: $(VERILATOR_INPUT)
verilator $(VERILATOR_FLAGS) $(VERILATOR_INPUT)
clean:
rm -rf obj_dir

12
README.rst Normal file
View File

@ -0,0 +1,12 @@
------------
verilog_rand
------------
Random number generators in verilog. BSD 2-clause.
-----------
XORShift-64
-----------
XORShift-64 is a pseudo-RNG with a period of ``2^64-1``.
At 100 MHz it would take centuries before the RNG repeats.

72
xorshift.cpp Normal file
View File

@ -0,0 +1,72 @@
/*
* Copyright 2024 Peter McGoron
*
* Redistribution and use in source and binary forms, with or without
* modification, are permitted provided that the following conditions
* are met:
*
* 1. Redistributions of source code must retain the above copyright
* notice, this list of conditions and the following disclaimer.
*
* 2. Redistributions in binary form must reproduce the above copyright
* notice, this list of conditions and the following disclaimer in the
* documentation and/or other materials provided with the distribution.
*
* THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
* AS IS AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
* LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR
* A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT
* HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
* SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED
* TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR
* PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF
* LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING
* NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
* SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
*/
#include <memory>
#include <limits>
#include <cstdint>
#include <iostream>
#include <verilated.h>
#include "Vxorshift.h"
Vxorshift *mod;
static void run_clock() {
mod->clk = !mod->clk;
mod->eval();
mod->clk = !mod->clk;
mod->eval();
}
static uint64_t xorshift_64() {
static uint64_t state = 88172645463325252LL;
state ^= state << 13;
state ^= state >> 7;
return state ^= state << 17;
}
int main(int argc, char **argv) {
Verilated::commandArgs(argc, argv);
mod = new Vxorshift;
mod->clk = 0;
mod->eval();
for (int i = 0; i < 100000; i++) {
uint64_t correct = xorshift_64();
run_clock();
if (correct != mod->data) {
std::cout << i << " " << correct << "!=" << mod->data << std::endl;
}
}
mod->final();
delete mod;
return 0;
}

42
xorshift.v Normal file
View File

@ -0,0 +1,42 @@
/*
* Copyright 2024 Peter McGoron
*
* Redistribution and use in source and binary forms, with or without
* modification, are permitted provided that the following conditions
* are met:
*
* 1. Redistributions of source code must retain the above copyright
* notice, this list of conditions and the following disclaimer.
*
* 2. Redistributions in binary form must reproduce the above copyright
* notice, this list of conditions and the following disclaimer in the
* documentation and/or other materials provided with the distribution.
*
* THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
* AS IS AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
* LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR
* A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT
* HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
* SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED
* TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR
* PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF
* LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING
* NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
* SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
*/
module xorshift (
input clk,
output [64-1:0] data
);
reg [64-1:0] state = 64'd88172645463325252;
assign data = state;
always @ (posedge clk) begin
`define X_1 (state ^ (state << 13))
`define X_2 (`X_1 ^ (`X_1 >> 7))
state <= (`X_2 ^ (`X_2 << 17));
end
endmodule