aboutsummaryrefslogtreecommitdiffstats
path: root/test_spi_write_read_mode0.v
diff options
context:
space:
mode:
authorGravatar Peter McGoron 2022-07-21 02:37:22 -0400
committerGravatar Peter McGoron 2022-07-21 02:37:22 -0400
commit4a683b8f654f3ecb6f2408dad3a9cfa60f3b39e6 (patch)
treea6c324803c18ad9a6dbcd65d54b80984d39f58d0 /test_spi_write_read_mode0.v
parentcleanup, add ready pin to slave (diff)
move tests
Diffstat (limited to 'test_spi_write_read_mode0.v')
-rw-r--r--test_spi_write_read_mode0.v60
1 files changed, 0 insertions, 60 deletions
diff --git a/test_spi_write_read_mode0.v b/test_spi_write_read_mode0.v
deleted file mode 100644
index 554b92e..0000000
--- a/test_spi_write_read_mode0.v
+++ /dev/null
@@ -1,60 +0,0 @@
-module test_spi_write_read_mode0
-(
- input clk,
- input [23:0] data_ctrl,
- input activate,
- input ss,
- input rdy,
- output master_finished,
- output slave_finished,
- output slave_error
-);
-
-wire miso;
-wire mosi;
-wire sck;
-wire ss_L = !ss;
-
-reg [23:0] from_slave_data;
-
-spi_master master
-(
- .clk(clk),
- .to_slave(data_ctrl),
- .from_slave(from_slave_data),
- .miso(miso),
- .mosi(mosi),
- .sck_wire(sck),
- .finished(master_finished),
- .arm(activate)
-);
-
-reg [23:0] data_from_master;
-reg [23:0] data_to_master = 24'b111011011100010101010101;
-
-spi_slave slave
-(
- .clk(clk),
- .sck(sck),
- .ss_L(ss_L),
- .from_master(data_from_master),
- .to_master(data_to_master),
- .mosi(mosi),
- .miso(miso),
- .finished(slave_finished),
- .rdy(rdy),
- .err(slave_error)
-);
-
-always @ (posedge clk) begin
- if (slave_finished) begin
- data_to_master <= data_from_master;
- end
-end
-
-initial begin
- $dumpfile("test_spi_write_read_mode0.vcd");
- $dumpvars();
-end
-
-endmodule