VexRiscv/build.sbt

24 lines
1.0 KiB
Plaintext
Raw Normal View History

2018-09-16 05:00:56 -04:00
lazy val root = (project in file(".")).
settings(
inThisBuild(List(
organization := "com.github.spinalhdl",
2018-12-30 09:51:46 -05:00
scalaVersion := "2.11.12",
2019-04-24 16:17:46 -04:00
version := "2.0.0"
2018-09-16 05:00:56 -04:00
)),
2020-10-16 05:25:25 -04:00
scalacOptions += s"-Xplugin:${new File(baseDirectory.value + "/../SpinalHDL/idslplugin/target/scala-2.11/spinalhdl-idsl-plugin_2.11-1.4.3.jar")}",
2020-02-06 15:07:40 -05:00
scalacOptions += s"-Xplugin-require:idsl-plugin",
2018-09-16 05:00:56 -04:00
libraryDependencies ++= Seq(
2019-06-17 11:19:11 -04:00
// "com.github.spinalhdl" % "spinalhdl-core_2.11" % "1.3.6",
// "com.github.spinalhdl" % "spinalhdl-lib_2.11" % "1.3.6",
2018-09-16 05:00:56 -04:00
"org.scalatest" % "scalatest_2.11" % "2.2.1",
"org.yaml" % "snakeyaml" % "1.8"
),
name := "VexRiscv"
2020-02-06 15:07:40 -05:00
).dependsOn(spinalHdlIdslPlugin, spinalHdlSim,spinalHdlCore,spinalHdlLib)
lazy val spinalHdlIdslPlugin = ProjectRef(file("../SpinalHDL"), "idslplugin")
2019-06-17 11:19:11 -04:00
lazy val spinalHdlSim = ProjectRef(file("../SpinalHDL"), "sim")
lazy val spinalHdlCore = ProjectRef(file("../SpinalHDL"), "core")
lazy val spinalHdlLib = ProjectRef(file("../SpinalHDL"), "lib")
2020-05-20 04:37:52 -04:00
fork := true