SpinalHDL 1.7.3

This commit is contained in:
Dolu1990 2022-09-19 13:27:22 +02:00
commit 051d140c33
6 changed files with 11 additions and 9 deletions

View File

@ -1,4 +1,4 @@
val spinalVersion = "1.7.1"
val spinalVersion = "1.7.3"
lazy val root = (project in file(".")).
settings(

View File

@ -75,5 +75,5 @@ class Stage() extends Area{
dontSample.getOrElseUpdate(s, ArrayBuffer[Bool]()) += cond
}
def inputInit[T <: BaseType](stageable : Stageable[T],initValue : T) =
Component.current.addPrePopTask(() => inputsDefault(stageable.asInstanceOf[Stageable[Data]]).asInstanceOf[T].getDrivingReg.init(initValue))
Component.current.addPrePopTask(() => inputsDefault(stageable.asInstanceOf[Stageable[Data]]).asInstanceOf[T].getDrivingReg().init(initValue))
}

View File

@ -120,6 +120,7 @@ object VexRiscvLitexSmpClusterCmdGen extends App {
var netlistName = "VexRiscvLitexSmpCluster"
var iTlbSize = 4
var dTlbSize = 4
var wishboneForce32b = false
assert(new scopt.OptionParser[Unit]("VexRiscvLitexSmpClusterCmdGen") {
help("help").text("prints this usage text")
opt[Unit]("coherent-dma") action { (v, c) => coherentDma = true }
@ -136,6 +137,7 @@ object VexRiscvLitexSmpClusterCmdGen extends App {
opt[String]("aes-instruction") action { (v, c) => aesInstruction = v.toBoolean }
opt[String]("out-of-order-decoder") action { (v, c) => outOfOrderDecoder = v.toBoolean }
opt[String]("wishbone-memory" ) action { (v, c) => wishboneMemory = v.toBoolean }
opt[String]("wishbone-force-32b" ) action { (v, c) => wishboneForce32b = v.toBoolean }
opt[String]("fpu" ) action { (v, c) => fpu = v.toBoolean }
opt[String]("cpu-per-fpu") action { (v, c) => cpuPerFpu = v.toInt }
opt[String]("rvc") action { (v, c) => rvc = v.toBoolean }
@ -173,7 +175,7 @@ object VexRiscvLitexSmpClusterCmdGen extends App {
c
}},
withExclusiveAndInvalidation = coherency,
forcePeripheralWidth = !wishboneMemory,
forcePeripheralWidth = !wishboneMemory || wishboneForce32b,
outOfOrderDecoder = outOfOrderDecoder,
fpu = fpu,
jtagHeaderIgnoreWidth = 0

View File

@ -530,7 +530,7 @@ case class FpuCore( portCount : Int, p : FpuParameter) extends Component{
busy := True
when(boot){
when(input.i2f && !patched && input.value(31) && input.arg(0)){
input.value.getDrivingReg(0, 32 bits) := B(input.value.asUInt.twoComplement(True).resize(32 bits))
input.value.getDrivingReg()(0, 32 bits) := B(input.value.asUInt.twoComplement(True).resize(32 bits))
patched := True
} otherwise {
shift.by := OHToUInt(OHMasking.first((ohInput).reversed))
@ -1318,7 +1318,7 @@ case class FpuCore( portCount : Int, p : FpuParameter) extends Component{
is(_15_XYY2){
when(mulBuffer.valid) {
state := Y_15_XYY2
mulBuffer.payload.getDrivingReg := (U"11" << mulWidth-2) - (mulBuffer.payload)
mulBuffer.payload.getDrivingReg() := (U"11" << mulWidth-2) - (mulBuffer.payload)
}
}
is(Y_15_XYY2){

View File

@ -371,7 +371,7 @@ class DBusCachedPlugin(val config : DataCacheConfig,
insert(MEMORY_VIRTUAL_ADDRESS) := cache.io.cpu.execute.address
memory.input(MEMORY_VIRTUAL_ADDRESS)
if(writeBack != null) addPrePopTask( () =>
KeepAttribute(memory.input(MEMORY_VIRTUAL_ADDRESS).getDrivingReg)
KeepAttribute(memory.input(MEMORY_VIRTUAL_ADDRESS).getDrivingReg())
)
}
}
@ -528,14 +528,14 @@ class DBusCachedPlugin(val config : DataCacheConfig,
dBusAccess.rsp.error := cache.io.cpu.writeBack.unalignedAccess || cache.io.cpu.writeBack.accessError
dBusAccess.rsp.redo := cache.io.cpu.redo
component.addPrePopTask{() =>
managementStage.input(IS_DBUS_SHARING).getDrivingReg clearWhen(dBusAccess.rsp.fire)
managementStage.input(IS_DBUS_SHARING).getDrivingReg() clearWhen(dBusAccess.rsp.fire)
when(forceDatapath){
execute.output(REGFILE_WRITE_DATA) := dBusAccess.cmd.address.asBits
}
if(mmuAndBufferStage != execute) mmuAndBufferStage.input(IS_DBUS_SHARING) init(False)
managementStage.input(IS_DBUS_SHARING) init(False)
when(dBusAccess.rsp.valid){
managementStage.input(IS_DBUS_SHARING).getDrivingReg := False
managementStage.input(IS_DBUS_SHARING).getDrivingReg() := False
}
}
}

View File

@ -390,7 +390,7 @@ abstract class IBusFetcherImpl(val resetVector : BigInt,
//Check if the decode instruction is driven by a register
val instructionDriver = try {
decode.input(INSTRUCTION).getDrivingReg
decode.input(INSTRUCTION).getDrivingReg()
} catch {
case _: Throwable => null
}