use SpinalHDL "dev"

This commit is contained in:
Dolu1990 2022-07-22 09:33:12 +02:00
parent 54412bde30
commit e3e21994b4
1 changed files with 1 additions and 1 deletions

View File

@ -1,4 +1,4 @@
val spinalVersion = "1.7.2-SNAPSHOT" val spinalVersion = "dev"
lazy val root = (project in file(".")). lazy val root = (project in file(".")).
settings( settings(