VexRiscv/scripts/Murax/arty_a7/open_vivado_project.tcl

5 lines
142 B
Tcl

source [file join [file dirname [file normalize [info script]]] vivado_params.tcl]
open_project -read_only $outputdir/$projectName
start_gui