formatted files

Signed-off-by: Ryan Johnson <ryancj14@gmail.com>
This commit is contained in:
Ryan Johnson 2021-05-13 12:05:33 -06:00
parent 1baae70b14
commit 9b953d9f75
12 changed files with 16555 additions and 16195 deletions

View File

@ -1,7 +1,6 @@
import os import os
from docutils.core import publish_doctree from docutils.core import publish_doctree
full_name_lut = { full_name_lut = {
'a35t': 'Arty 35T', 'a35t': 'Arty 35T',
'a100t': 'Arty 100T', 'a100t': 'Arty 100T',
@ -29,8 +28,9 @@ def handle_default_with_inlines(block):
""" """
text = "" text = ""
for node in block.traverse(include_self=False, condition=lambda x: for node in block.traverse(
x.parent.tagname.strip() not in inlines): include_self=False,
condition=lambda x: x.parent.tagname.strip() not in inlines):
tagname = node.tagname.strip() tagname = node.tagname.strip()
if tagname in ('paragraph', ): if tagname in ('paragraph', ):
continue continue
@ -149,8 +149,8 @@ def handle_note(block):
ret['type'] = block.tagname.strip() ret['type'] = block.tagname.strip()
if sum(map(lambda x: subtree_has_tag(block, x), inlines)): if sum(map(lambda x: subtree_has_tag(block, x), inlines)):
for node in block.traverse(condition=lambda x: for node in block.traverse(
x.tagname.strip() == 'paragraph'): condition=lambda x: x.tagname.strip() == 'paragraph'):
ret['text'] = handle_default_with_inlines(node)['text'] ret['text'] = handle_default_with_inlines(node)['text']
else: else:
ret['text'] = block.astext() ret['text'] = block.astext()
@ -204,8 +204,10 @@ def get_blocks(text):
""" """
doctree = publish_doctree(text) doctree = publish_doctree(text)
return doctree.traverse(condition=lambda x: x.tagname.strip() != 'document' return doctree.traverse(
and x.parent.tagname.strip() != 'note') condition=lambda x: x.tagname.strip() != 'document' and x.parent.
tagname.strip() != 'note'
)
def fill_context(text): def fill_context(text):

View File

@ -6,8 +6,7 @@ module top(
reg [3:0] cnt; reg [3:0] cnt;
initial cnt <= 0; initial cnt <= 0;
always @(posedge clk) always @(posedge clk) cnt <= cnt + 1;
cnt <= cnt + 1;
assign led = cnt; assign led = cnt;

View File

@ -7,7 +7,10 @@ module top (
localparam LOG2DELAY = 22; localparam LOG2DELAY = 22;
wire bufg; wire bufg;
BUFG bufgctrl(.I(clk), .O(bufg)); BUFG bufgctrl (
.I(clk),
.O(bufg)
);
reg [BITS+LOG2DELAY-1:0] counter = 0; reg [BITS+LOG2DELAY-1:0] counter = 0;

View File

@ -8,7 +8,10 @@ wire [63:0] emio_gpio_t;
wire [63:0] emio_gpio_i; wire [63:0] emio_gpio_i;
wire clk_bufg; wire clk_bufg;
BUFG BUFG(.I(clk), .O(clk_bufg)); BUFG BUFG (
.I(clk),
.O(clk_bufg)
);
wire en_counter = ~emio_gpio_o[0]; wire en_counter = ~emio_gpio_o[0];
wire count_direction = ~emio_gpio_o[1]; wire count_direction = ~emio_gpio_o[1];
@ -16,10 +19,8 @@ reg [31:0] counter = 0;
always @(posedge clk_bufg) begin always @(posedge clk_bufg) begin
if (en_counter) if (en_counter)
if (count_direction) if (count_direction) counter <= counter + 1;
counter <= counter + 1; else counter <= counter - 1;
else
counter <= counter - 1;
end end
assign led = counter[27:24]; assign led = counter[27:24];

View File

@ -7466,4 +7466,3 @@ module VexRiscv (
end end
endmodule endmodule

View File

@ -56,36 +56,192 @@ wire ddram_cke_iob;
wire ddram_odt_iob; wire ddram_odt_iob;
wire ddram_reset_n_iob; wire ddram_reset_n_iob;
OBUF #(.IOSTANDARD("SSTL135"), .SLEW("FAST")) obuf_a0 (.I(ddram_a_iob[ 0]), .O(ddram_a[ 0])); OBUF #(
OBUF #(.IOSTANDARD("SSTL135"), .SLEW("FAST")) obuf_a1 (.I(ddram_a_iob[ 1]), .O(ddram_a[ 1])); .IOSTANDARD("SSTL135"),
OBUF #(.IOSTANDARD("SSTL135"), .SLEW("FAST")) obuf_a2 (.I(ddram_a_iob[ 2]), .O(ddram_a[ 2])); .SLEW("FAST")
OBUF #(.IOSTANDARD("SSTL135"), .SLEW("FAST")) obuf_a3 (.I(ddram_a_iob[ 3]), .O(ddram_a[ 3])); ) obuf_a0 (
OBUF #(.IOSTANDARD("SSTL135"), .SLEW("FAST")) obuf_a4 (.I(ddram_a_iob[ 4]), .O(ddram_a[ 4])); .I(ddram_a_iob[0]),
OBUF #(.IOSTANDARD("SSTL135"), .SLEW("FAST")) obuf_a5 (.I(ddram_a_iob[ 5]), .O(ddram_a[ 5])); .O(ddram_a[0])
OBUF #(.IOSTANDARD("SSTL135"), .SLEW("FAST")) obuf_a6 (.I(ddram_a_iob[ 6]), .O(ddram_a[ 6])); );
OBUF #(.IOSTANDARD("SSTL135"), .SLEW("FAST")) obuf_a7 (.I(ddram_a_iob[ 7]), .O(ddram_a[ 7])); OBUF #(
OBUF #(.IOSTANDARD("SSTL135"), .SLEW("FAST")) obuf_a8 (.I(ddram_a_iob[ 8]), .O(ddram_a[ 8])); .IOSTANDARD("SSTL135"),
OBUF #(.IOSTANDARD("SSTL135"), .SLEW("FAST")) obuf_a9 (.I(ddram_a_iob[ 9]), .O(ddram_a[ 9])); .SLEW("FAST")
OBUF #(.IOSTANDARD("SSTL135"), .SLEW("FAST")) obuf_a10 (.I(ddram_a_iob[10]), .O(ddram_a[10])); ) obuf_a1 (
OBUF #(.IOSTANDARD("SSTL135"), .SLEW("FAST")) obuf_a11 (.I(ddram_a_iob[11]), .O(ddram_a[11])); .I(ddram_a_iob[1]),
OBUF #(.IOSTANDARD("SSTL135"), .SLEW("FAST")) obuf_a12 (.I(ddram_a_iob[12]), .O(ddram_a[12])); .O(ddram_a[1])
OBUF #(.IOSTANDARD("SSTL135"), .SLEW("FAST")) obuf_a13 (.I(ddram_a_iob[13]), .O(ddram_a[13])); );
OBUF #(
.IOSTANDARD("SSTL135"),
.SLEW("FAST")
) obuf_a2 (
.I(ddram_a_iob[2]),
.O(ddram_a[2])
);
OBUF #(
.IOSTANDARD("SSTL135"),
.SLEW("FAST")
) obuf_a3 (
.I(ddram_a_iob[3]),
.O(ddram_a[3])
);
OBUF #(
.IOSTANDARD("SSTL135"),
.SLEW("FAST")
) obuf_a4 (
.I(ddram_a_iob[4]),
.O(ddram_a[4])
);
OBUF #(
.IOSTANDARD("SSTL135"),
.SLEW("FAST")
) obuf_a5 (
.I(ddram_a_iob[5]),
.O(ddram_a[5])
);
OBUF #(
.IOSTANDARD("SSTL135"),
.SLEW("FAST")
) obuf_a6 (
.I(ddram_a_iob[6]),
.O(ddram_a[6])
);
OBUF #(
.IOSTANDARD("SSTL135"),
.SLEW("FAST")
) obuf_a7 (
.I(ddram_a_iob[7]),
.O(ddram_a[7])
);
OBUF #(
.IOSTANDARD("SSTL135"),
.SLEW("FAST")
) obuf_a8 (
.I(ddram_a_iob[8]),
.O(ddram_a[8])
);
OBUF #(
.IOSTANDARD("SSTL135"),
.SLEW("FAST")
) obuf_a9 (
.I(ddram_a_iob[9]),
.O(ddram_a[9])
);
OBUF #(
.IOSTANDARD("SSTL135"),
.SLEW("FAST")
) obuf_a10 (
.I(ddram_a_iob[10]),
.O(ddram_a[10])
);
OBUF #(
.IOSTANDARD("SSTL135"),
.SLEW("FAST")
) obuf_a11 (
.I(ddram_a_iob[11]),
.O(ddram_a[11])
);
OBUF #(
.IOSTANDARD("SSTL135"),
.SLEW("FAST")
) obuf_a12 (
.I(ddram_a_iob[12]),
.O(ddram_a[12])
);
OBUF #(
.IOSTANDARD("SSTL135"),
.SLEW("FAST")
) obuf_a13 (
.I(ddram_a_iob[13]),
.O(ddram_a[13])
);
OBUF #(.IOSTANDARD("SSTL135"), .SLEW("FAST")) obuf_ba0 (.I(ddram_ba_iob[0]), .O(ddram_ba[0])); OBUF #(
OBUF #(.IOSTANDARD("SSTL135"), .SLEW("FAST")) obuf_ba1 (.I(ddram_ba_iob[1]), .O(ddram_ba[1])); .IOSTANDARD("SSTL135"),
OBUF #(.IOSTANDARD("SSTL135"), .SLEW("FAST")) obuf_ba2 (.I(ddram_ba_iob[2]), .O(ddram_ba[2])); .SLEW("FAST")
) obuf_ba0 (
.I(ddram_ba_iob[0]),
.O(ddram_ba[0])
);
OBUF #(
.IOSTANDARD("SSTL135"),
.SLEW("FAST")
) obuf_ba1 (
.I(ddram_ba_iob[1]),
.O(ddram_ba[1])
);
OBUF #(
.IOSTANDARD("SSTL135"),
.SLEW("FAST")
) obuf_ba2 (
.I(ddram_ba_iob[2]),
.O(ddram_ba[2])
);
OBUF #(.IOSTANDARD("SSTL135"), .SLEW("FAST")) obuf_dm0 (.I(ddram_dm_iob[0]), .O(ddram_dm[0])); OBUF #(
OBUF #(.IOSTANDARD("SSTL135"), .SLEW("FAST")) obuf_dm1 (.I(ddram_dm_iob[1]), .O(ddram_dm[1])); .IOSTANDARD("SSTL135"),
.SLEW("FAST")
) obuf_dm0 (
.I(ddram_dm_iob[0]),
.O(ddram_dm[0])
);
OBUF #(
.IOSTANDARD("SSTL135"),
.SLEW("FAST")
) obuf_dm1 (
.I(ddram_dm_iob[1]),
.O(ddram_dm[1])
);
OBUF #(.IOSTANDARD("SSTL135"), .SLEW("FAST")) obuf_ras (.I(ddram_ras_n_iob), .O(ddram_ras_n)); OBUF #(
OBUF #(.IOSTANDARD("SSTL135"), .SLEW("FAST")) obuf_cas (.I(ddram_cas_n_iob), .O(ddram_cas_n)); .IOSTANDARD("SSTL135"),
OBUF #(.IOSTANDARD("SSTL135"), .SLEW("FAST")) obuf_we (.I(ddram_we_n_iob), .O(ddram_we_n)); .SLEW("FAST")
OBUF #(.IOSTANDARD("SSTL135"), .SLEW("FAST")) obuf_cs (.I(ddram_cs_n_iob), .O(ddram_cs_n)); ) obuf_ras (
.I(ddram_ras_n_iob),
.O(ddram_ras_n)
);
OBUF #(
.IOSTANDARD("SSTL135"),
.SLEW("FAST")
) obuf_cas (
.I(ddram_cas_n_iob),
.O(ddram_cas_n)
);
OBUF #(
.IOSTANDARD("SSTL135"),
.SLEW("FAST")
) obuf_we (
.I(ddram_we_n_iob),
.O(ddram_we_n)
);
OBUF #(
.IOSTANDARD("SSTL135"),
.SLEW("FAST")
) obuf_cs (
.I(ddram_cs_n_iob),
.O(ddram_cs_n)
);
OBUF #(.IOSTANDARD("SSTL135"), .SLEW("FAST")) obuf_cke (.I(ddram_cke_iob), .O(ddram_cke)); OBUF #(
OBUF #(.IOSTANDARD("SSTL135"), .SLEW("FAST")) obuf_odt (.I(ddram_odt_iob), .O(ddram_odt)); .IOSTANDARD("SSTL135"),
OBUF #(.IOSTANDARD("SSTL135"), .SLEW("FAST")) obuf_rst (.I(ddram_reset_n_iob),.O(ddram_reset_n)); .SLEW("FAST")
) obuf_cke (
.I(ddram_cke_iob),
.O(ddram_cke)
);
OBUF #(
.IOSTANDARD("SSTL135"),
.SLEW("FAST")
) obuf_odt (
.I(ddram_odt_iob),
.O(ddram_odt)
);
OBUF #(
.IOSTANDARD("SSTL135"),
.SLEW("FAST")
) obuf_rst (
.I(ddram_reset_n_iob),
.O(ddram_reset_n)
);
// End manually inserted OBUFs // End manually inserted OBUFs
@ -3594,7 +3750,11 @@ end
assign soc_netsoc_uart_irq = ((soc_netsoc_uart_eventmanager_pending_w[0] & soc_netsoc_uart_eventmanager_storage[0]) | (soc_netsoc_uart_eventmanager_pending_w[1] & soc_netsoc_uart_eventmanager_storage[1])); assign soc_netsoc_uart_irq = ((soc_netsoc_uart_eventmanager_pending_w[0] & soc_netsoc_uart_eventmanager_storage[0]) | (soc_netsoc_uart_eventmanager_pending_w[1] & soc_netsoc_uart_eventmanager_storage[1]));
assign soc_netsoc_uart_tx_status = soc_netsoc_uart_tx_trigger; assign soc_netsoc_uart_tx_status = soc_netsoc_uart_tx_trigger;
assign soc_netsoc_uart_rx_status = soc_netsoc_uart_rx_trigger; assign soc_netsoc_uart_rx_status = soc_netsoc_uart_rx_trigger;
assign soc_netsoc_uart_tx_fifo_syncfifo_din = {soc_netsoc_uart_tx_fifo_fifo_in_last, soc_netsoc_uart_tx_fifo_fifo_in_first, soc_netsoc_uart_tx_fifo_fifo_in_payload_data}; assign soc_netsoc_uart_tx_fifo_syncfifo_din = {
soc_netsoc_uart_tx_fifo_fifo_in_last,
soc_netsoc_uart_tx_fifo_fifo_in_first,
soc_netsoc_uart_tx_fifo_fifo_in_payload_data
};
assign {soc_netsoc_uart_tx_fifo_fifo_out_last, soc_netsoc_uart_tx_fifo_fifo_out_first, soc_netsoc_uart_tx_fifo_fifo_out_payload_data} = soc_netsoc_uart_tx_fifo_syncfifo_dout; assign {soc_netsoc_uart_tx_fifo_fifo_out_last, soc_netsoc_uart_tx_fifo_fifo_out_first, soc_netsoc_uart_tx_fifo_fifo_out_payload_data} = soc_netsoc_uart_tx_fifo_syncfifo_dout;
assign soc_netsoc_uart_tx_fifo_sink_ready = soc_netsoc_uart_tx_fifo_syncfifo_writable; assign soc_netsoc_uart_tx_fifo_sink_ready = soc_netsoc_uart_tx_fifo_syncfifo_writable;
assign soc_netsoc_uart_tx_fifo_syncfifo_we = soc_netsoc_uart_tx_fifo_sink_valid; assign soc_netsoc_uart_tx_fifo_syncfifo_we = soc_netsoc_uart_tx_fifo_sink_valid;
@ -3624,7 +3784,11 @@ assign soc_netsoc_uart_tx_fifo_syncfifo_dout = soc_netsoc_uart_tx_fifo_rdport_da
assign soc_netsoc_uart_tx_fifo_rdport_re = soc_netsoc_uart_tx_fifo_do_read; assign soc_netsoc_uart_tx_fifo_rdport_re = soc_netsoc_uart_tx_fifo_do_read;
assign soc_netsoc_uart_tx_fifo_syncfifo_writable = (soc_netsoc_uart_tx_fifo_level0 != 5'd16); assign soc_netsoc_uart_tx_fifo_syncfifo_writable = (soc_netsoc_uart_tx_fifo_level0 != 5'd16);
assign soc_netsoc_uart_tx_fifo_syncfifo_readable = (soc_netsoc_uart_tx_fifo_level0 != 1'd0); assign soc_netsoc_uart_tx_fifo_syncfifo_readable = (soc_netsoc_uart_tx_fifo_level0 != 1'd0);
assign soc_netsoc_uart_rx_fifo_syncfifo_din = {soc_netsoc_uart_rx_fifo_fifo_in_last, soc_netsoc_uart_rx_fifo_fifo_in_first, soc_netsoc_uart_rx_fifo_fifo_in_payload_data}; assign soc_netsoc_uart_rx_fifo_syncfifo_din = {
soc_netsoc_uart_rx_fifo_fifo_in_last,
soc_netsoc_uart_rx_fifo_fifo_in_first,
soc_netsoc_uart_rx_fifo_fifo_in_payload_data
};
assign {soc_netsoc_uart_rx_fifo_fifo_out_last, soc_netsoc_uart_rx_fifo_fifo_out_first, soc_netsoc_uart_rx_fifo_fifo_out_payload_data} = soc_netsoc_uart_rx_fifo_syncfifo_dout; assign {soc_netsoc_uart_rx_fifo_fifo_out_last, soc_netsoc_uart_rx_fifo_fifo_out_first, soc_netsoc_uart_rx_fifo_fifo_out_payload_data} = soc_netsoc_uart_rx_fifo_syncfifo_dout;
assign soc_netsoc_uart_rx_fifo_sink_ready = soc_netsoc_uart_rx_fifo_syncfifo_writable; assign soc_netsoc_uart_rx_fifo_sink_ready = soc_netsoc_uart_rx_fifo_syncfifo_writable;
assign soc_netsoc_uart_rx_fifo_syncfifo_we = soc_netsoc_uart_rx_fifo_sink_valid; assign soc_netsoc_uart_rx_fifo_syncfifo_we = soc_netsoc_uart_rx_fifo_sink_valid;
@ -4433,7 +4597,9 @@ always @(*) begin
if (soc_netsoc_sdram_bankmachine0_row_col_n_addr_sel) begin if (soc_netsoc_sdram_bankmachine0_row_col_n_addr_sel) begin
soc_netsoc_sdram_bankmachine0_cmd_payload_a <= soc_netsoc_sdram_bankmachine0_cmd_buffer_source_payload_addr[20:7]; soc_netsoc_sdram_bankmachine0_cmd_payload_a <= soc_netsoc_sdram_bankmachine0_cmd_buffer_source_payload_addr[20:7];
end else begin end else begin
soc_netsoc_sdram_bankmachine0_cmd_payload_a <= ((soc_netsoc_sdram_bankmachine0_auto_precharge <<< 4'd10) | {soc_netsoc_sdram_bankmachine0_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); soc_netsoc_sdram_bankmachine0_cmd_payload_a <= ((soc_netsoc_sdram_bankmachine0_auto_precharge <<< 4'd10) | {
soc_netsoc_sdram_bankmachine0_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}
});
end end
end end
assign soc_netsoc_sdram_bankmachine0_twtpcon_valid = ((soc_netsoc_sdram_bankmachine0_cmd_valid & soc_netsoc_sdram_bankmachine0_cmd_ready) & soc_netsoc_sdram_bankmachine0_cmd_payload_is_write); assign soc_netsoc_sdram_bankmachine0_twtpcon_valid = ((soc_netsoc_sdram_bankmachine0_cmd_valid & soc_netsoc_sdram_bankmachine0_cmd_ready) & soc_netsoc_sdram_bankmachine0_cmd_payload_is_write);
@ -4447,7 +4613,12 @@ always @(*) begin
end end
end end
end end
assign soc_netsoc_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_din = {soc_netsoc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_last, soc_netsoc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_first, soc_netsoc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_addr, soc_netsoc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_we}; assign soc_netsoc_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_din = {
soc_netsoc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_last,
soc_netsoc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_first,
soc_netsoc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_addr,
soc_netsoc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_we
};
assign {soc_netsoc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_last, soc_netsoc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_first, soc_netsoc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr, soc_netsoc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we} = soc_netsoc_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout; assign {soc_netsoc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_last, soc_netsoc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_first, soc_netsoc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr, soc_netsoc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we} = soc_netsoc_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout;
assign soc_netsoc_sdram_bankmachine0_cmd_buffer_lookahead_sink_ready = soc_netsoc_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable; assign soc_netsoc_sdram_bankmachine0_cmd_buffer_lookahead_sink_ready = soc_netsoc_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable;
assign soc_netsoc_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_we = soc_netsoc_sdram_bankmachine0_cmd_buffer_lookahead_sink_valid; assign soc_netsoc_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_we = soc_netsoc_sdram_bankmachine0_cmd_buffer_lookahead_sink_valid;
@ -4595,7 +4766,9 @@ always @(*) begin
if (soc_netsoc_sdram_bankmachine1_row_col_n_addr_sel) begin if (soc_netsoc_sdram_bankmachine1_row_col_n_addr_sel) begin
soc_netsoc_sdram_bankmachine1_cmd_payload_a <= soc_netsoc_sdram_bankmachine1_cmd_buffer_source_payload_addr[20:7]; soc_netsoc_sdram_bankmachine1_cmd_payload_a <= soc_netsoc_sdram_bankmachine1_cmd_buffer_source_payload_addr[20:7];
end else begin end else begin
soc_netsoc_sdram_bankmachine1_cmd_payload_a <= ((soc_netsoc_sdram_bankmachine1_auto_precharge <<< 4'd10) | {soc_netsoc_sdram_bankmachine1_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); soc_netsoc_sdram_bankmachine1_cmd_payload_a <= ((soc_netsoc_sdram_bankmachine1_auto_precharge <<< 4'd10) | {
soc_netsoc_sdram_bankmachine1_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}
});
end end
end end
assign soc_netsoc_sdram_bankmachine1_twtpcon_valid = ((soc_netsoc_sdram_bankmachine1_cmd_valid & soc_netsoc_sdram_bankmachine1_cmd_ready) & soc_netsoc_sdram_bankmachine1_cmd_payload_is_write); assign soc_netsoc_sdram_bankmachine1_twtpcon_valid = ((soc_netsoc_sdram_bankmachine1_cmd_valid & soc_netsoc_sdram_bankmachine1_cmd_ready) & soc_netsoc_sdram_bankmachine1_cmd_payload_is_write);
@ -4609,7 +4782,12 @@ always @(*) begin
end end
end end
end end
assign soc_netsoc_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_din = {soc_netsoc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_last, soc_netsoc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_first, soc_netsoc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_addr, soc_netsoc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_we}; assign soc_netsoc_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_din = {
soc_netsoc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_last,
soc_netsoc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_first,
soc_netsoc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_addr,
soc_netsoc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_we
};
assign {soc_netsoc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_last, soc_netsoc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_first, soc_netsoc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr, soc_netsoc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we} = soc_netsoc_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout; assign {soc_netsoc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_last, soc_netsoc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_first, soc_netsoc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr, soc_netsoc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we} = soc_netsoc_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout;
assign soc_netsoc_sdram_bankmachine1_cmd_buffer_lookahead_sink_ready = soc_netsoc_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable; assign soc_netsoc_sdram_bankmachine1_cmd_buffer_lookahead_sink_ready = soc_netsoc_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable;
assign soc_netsoc_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_we = soc_netsoc_sdram_bankmachine1_cmd_buffer_lookahead_sink_valid; assign soc_netsoc_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_we = soc_netsoc_sdram_bankmachine1_cmd_buffer_lookahead_sink_valid;
@ -4757,7 +4935,9 @@ always @(*) begin
if (soc_netsoc_sdram_bankmachine2_row_col_n_addr_sel) begin if (soc_netsoc_sdram_bankmachine2_row_col_n_addr_sel) begin
soc_netsoc_sdram_bankmachine2_cmd_payload_a <= soc_netsoc_sdram_bankmachine2_cmd_buffer_source_payload_addr[20:7]; soc_netsoc_sdram_bankmachine2_cmd_payload_a <= soc_netsoc_sdram_bankmachine2_cmd_buffer_source_payload_addr[20:7];
end else begin end else begin
soc_netsoc_sdram_bankmachine2_cmd_payload_a <= ((soc_netsoc_sdram_bankmachine2_auto_precharge <<< 4'd10) | {soc_netsoc_sdram_bankmachine2_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); soc_netsoc_sdram_bankmachine2_cmd_payload_a <= ((soc_netsoc_sdram_bankmachine2_auto_precharge <<< 4'd10) | {
soc_netsoc_sdram_bankmachine2_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}
});
end end
end end
assign soc_netsoc_sdram_bankmachine2_twtpcon_valid = ((soc_netsoc_sdram_bankmachine2_cmd_valid & soc_netsoc_sdram_bankmachine2_cmd_ready) & soc_netsoc_sdram_bankmachine2_cmd_payload_is_write); assign soc_netsoc_sdram_bankmachine2_twtpcon_valid = ((soc_netsoc_sdram_bankmachine2_cmd_valid & soc_netsoc_sdram_bankmachine2_cmd_ready) & soc_netsoc_sdram_bankmachine2_cmd_payload_is_write);
@ -4771,7 +4951,12 @@ always @(*) begin
end end
end end
end end
assign soc_netsoc_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_din = {soc_netsoc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_last, soc_netsoc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_first, soc_netsoc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_addr, soc_netsoc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_we}; assign soc_netsoc_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_din = {
soc_netsoc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_last,
soc_netsoc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_first,
soc_netsoc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_addr,
soc_netsoc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_we
};
assign {soc_netsoc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_last, soc_netsoc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_first, soc_netsoc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr, soc_netsoc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we} = soc_netsoc_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout; assign {soc_netsoc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_last, soc_netsoc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_first, soc_netsoc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr, soc_netsoc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we} = soc_netsoc_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout;
assign soc_netsoc_sdram_bankmachine2_cmd_buffer_lookahead_sink_ready = soc_netsoc_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable; assign soc_netsoc_sdram_bankmachine2_cmd_buffer_lookahead_sink_ready = soc_netsoc_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable;
assign soc_netsoc_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_we = soc_netsoc_sdram_bankmachine2_cmd_buffer_lookahead_sink_valid; assign soc_netsoc_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_we = soc_netsoc_sdram_bankmachine2_cmd_buffer_lookahead_sink_valid;
@ -4919,7 +5104,9 @@ always @(*) begin
if (soc_netsoc_sdram_bankmachine3_row_col_n_addr_sel) begin if (soc_netsoc_sdram_bankmachine3_row_col_n_addr_sel) begin
soc_netsoc_sdram_bankmachine3_cmd_payload_a <= soc_netsoc_sdram_bankmachine3_cmd_buffer_source_payload_addr[20:7]; soc_netsoc_sdram_bankmachine3_cmd_payload_a <= soc_netsoc_sdram_bankmachine3_cmd_buffer_source_payload_addr[20:7];
end else begin end else begin
soc_netsoc_sdram_bankmachine3_cmd_payload_a <= ((soc_netsoc_sdram_bankmachine3_auto_precharge <<< 4'd10) | {soc_netsoc_sdram_bankmachine3_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); soc_netsoc_sdram_bankmachine3_cmd_payload_a <= ((soc_netsoc_sdram_bankmachine3_auto_precharge <<< 4'd10) | {
soc_netsoc_sdram_bankmachine3_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}
});
end end
end end
assign soc_netsoc_sdram_bankmachine3_twtpcon_valid = ((soc_netsoc_sdram_bankmachine3_cmd_valid & soc_netsoc_sdram_bankmachine3_cmd_ready) & soc_netsoc_sdram_bankmachine3_cmd_payload_is_write); assign soc_netsoc_sdram_bankmachine3_twtpcon_valid = ((soc_netsoc_sdram_bankmachine3_cmd_valid & soc_netsoc_sdram_bankmachine3_cmd_ready) & soc_netsoc_sdram_bankmachine3_cmd_payload_is_write);
@ -4933,7 +5120,12 @@ always @(*) begin
end end
end end
end end
assign soc_netsoc_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_din = {soc_netsoc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_last, soc_netsoc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_first, soc_netsoc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_addr, soc_netsoc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_we}; assign soc_netsoc_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_din = {
soc_netsoc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_last,
soc_netsoc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_first,
soc_netsoc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_addr,
soc_netsoc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_we
};
assign {soc_netsoc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_last, soc_netsoc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_first, soc_netsoc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr, soc_netsoc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we} = soc_netsoc_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout; assign {soc_netsoc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_last, soc_netsoc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_first, soc_netsoc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr, soc_netsoc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we} = soc_netsoc_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout;
assign soc_netsoc_sdram_bankmachine3_cmd_buffer_lookahead_sink_ready = soc_netsoc_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable; assign soc_netsoc_sdram_bankmachine3_cmd_buffer_lookahead_sink_ready = soc_netsoc_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable;
assign soc_netsoc_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_we = soc_netsoc_sdram_bankmachine3_cmd_buffer_lookahead_sink_valid; assign soc_netsoc_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_we = soc_netsoc_sdram_bankmachine3_cmd_buffer_lookahead_sink_valid;
@ -5081,7 +5273,9 @@ always @(*) begin
if (soc_netsoc_sdram_bankmachine4_row_col_n_addr_sel) begin if (soc_netsoc_sdram_bankmachine4_row_col_n_addr_sel) begin
soc_netsoc_sdram_bankmachine4_cmd_payload_a <= soc_netsoc_sdram_bankmachine4_cmd_buffer_source_payload_addr[20:7]; soc_netsoc_sdram_bankmachine4_cmd_payload_a <= soc_netsoc_sdram_bankmachine4_cmd_buffer_source_payload_addr[20:7];
end else begin end else begin
soc_netsoc_sdram_bankmachine4_cmd_payload_a <= ((soc_netsoc_sdram_bankmachine4_auto_precharge <<< 4'd10) | {soc_netsoc_sdram_bankmachine4_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); soc_netsoc_sdram_bankmachine4_cmd_payload_a <= ((soc_netsoc_sdram_bankmachine4_auto_precharge <<< 4'd10) | {
soc_netsoc_sdram_bankmachine4_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}
});
end end
end end
assign soc_netsoc_sdram_bankmachine4_twtpcon_valid = ((soc_netsoc_sdram_bankmachine4_cmd_valid & soc_netsoc_sdram_bankmachine4_cmd_ready) & soc_netsoc_sdram_bankmachine4_cmd_payload_is_write); assign soc_netsoc_sdram_bankmachine4_twtpcon_valid = ((soc_netsoc_sdram_bankmachine4_cmd_valid & soc_netsoc_sdram_bankmachine4_cmd_ready) & soc_netsoc_sdram_bankmachine4_cmd_payload_is_write);
@ -5095,7 +5289,12 @@ always @(*) begin
end end
end end
end end
assign soc_netsoc_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_din = {soc_netsoc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_last, soc_netsoc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_first, soc_netsoc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_addr, soc_netsoc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_we}; assign soc_netsoc_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_din = {
soc_netsoc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_last,
soc_netsoc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_first,
soc_netsoc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_addr,
soc_netsoc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_we
};
assign {soc_netsoc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_last, soc_netsoc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_first, soc_netsoc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr, soc_netsoc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we} = soc_netsoc_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout; assign {soc_netsoc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_last, soc_netsoc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_first, soc_netsoc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr, soc_netsoc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we} = soc_netsoc_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout;
assign soc_netsoc_sdram_bankmachine4_cmd_buffer_lookahead_sink_ready = soc_netsoc_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable; assign soc_netsoc_sdram_bankmachine4_cmd_buffer_lookahead_sink_ready = soc_netsoc_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable;
assign soc_netsoc_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_we = soc_netsoc_sdram_bankmachine4_cmd_buffer_lookahead_sink_valid; assign soc_netsoc_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_we = soc_netsoc_sdram_bankmachine4_cmd_buffer_lookahead_sink_valid;
@ -5243,7 +5442,9 @@ always @(*) begin
if (soc_netsoc_sdram_bankmachine5_row_col_n_addr_sel) begin if (soc_netsoc_sdram_bankmachine5_row_col_n_addr_sel) begin
soc_netsoc_sdram_bankmachine5_cmd_payload_a <= soc_netsoc_sdram_bankmachine5_cmd_buffer_source_payload_addr[20:7]; soc_netsoc_sdram_bankmachine5_cmd_payload_a <= soc_netsoc_sdram_bankmachine5_cmd_buffer_source_payload_addr[20:7];
end else begin end else begin
soc_netsoc_sdram_bankmachine5_cmd_payload_a <= ((soc_netsoc_sdram_bankmachine5_auto_precharge <<< 4'd10) | {soc_netsoc_sdram_bankmachine5_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); soc_netsoc_sdram_bankmachine5_cmd_payload_a <= ((soc_netsoc_sdram_bankmachine5_auto_precharge <<< 4'd10) | {
soc_netsoc_sdram_bankmachine5_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}
});
end end
end end
assign soc_netsoc_sdram_bankmachine5_twtpcon_valid = ((soc_netsoc_sdram_bankmachine5_cmd_valid & soc_netsoc_sdram_bankmachine5_cmd_ready) & soc_netsoc_sdram_bankmachine5_cmd_payload_is_write); assign soc_netsoc_sdram_bankmachine5_twtpcon_valid = ((soc_netsoc_sdram_bankmachine5_cmd_valid & soc_netsoc_sdram_bankmachine5_cmd_ready) & soc_netsoc_sdram_bankmachine5_cmd_payload_is_write);
@ -5257,7 +5458,12 @@ always @(*) begin
end end
end end
end end
assign soc_netsoc_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_din = {soc_netsoc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_last, soc_netsoc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_first, soc_netsoc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_addr, soc_netsoc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_we}; assign soc_netsoc_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_din = {
soc_netsoc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_last,
soc_netsoc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_first,
soc_netsoc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_addr,
soc_netsoc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_we
};
assign {soc_netsoc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_last, soc_netsoc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_first, soc_netsoc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr, soc_netsoc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we} = soc_netsoc_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout; assign {soc_netsoc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_last, soc_netsoc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_first, soc_netsoc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr, soc_netsoc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we} = soc_netsoc_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout;
assign soc_netsoc_sdram_bankmachine5_cmd_buffer_lookahead_sink_ready = soc_netsoc_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable; assign soc_netsoc_sdram_bankmachine5_cmd_buffer_lookahead_sink_ready = soc_netsoc_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable;
assign soc_netsoc_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_we = soc_netsoc_sdram_bankmachine5_cmd_buffer_lookahead_sink_valid; assign soc_netsoc_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_we = soc_netsoc_sdram_bankmachine5_cmd_buffer_lookahead_sink_valid;
@ -5405,7 +5611,9 @@ always @(*) begin
if (soc_netsoc_sdram_bankmachine6_row_col_n_addr_sel) begin if (soc_netsoc_sdram_bankmachine6_row_col_n_addr_sel) begin
soc_netsoc_sdram_bankmachine6_cmd_payload_a <= soc_netsoc_sdram_bankmachine6_cmd_buffer_source_payload_addr[20:7]; soc_netsoc_sdram_bankmachine6_cmd_payload_a <= soc_netsoc_sdram_bankmachine6_cmd_buffer_source_payload_addr[20:7];
end else begin end else begin
soc_netsoc_sdram_bankmachine6_cmd_payload_a <= ((soc_netsoc_sdram_bankmachine6_auto_precharge <<< 4'd10) | {soc_netsoc_sdram_bankmachine6_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); soc_netsoc_sdram_bankmachine6_cmd_payload_a <= ((soc_netsoc_sdram_bankmachine6_auto_precharge <<< 4'd10) | {
soc_netsoc_sdram_bankmachine6_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}
});
end end
end end
assign soc_netsoc_sdram_bankmachine6_twtpcon_valid = ((soc_netsoc_sdram_bankmachine6_cmd_valid & soc_netsoc_sdram_bankmachine6_cmd_ready) & soc_netsoc_sdram_bankmachine6_cmd_payload_is_write); assign soc_netsoc_sdram_bankmachine6_twtpcon_valid = ((soc_netsoc_sdram_bankmachine6_cmd_valid & soc_netsoc_sdram_bankmachine6_cmd_ready) & soc_netsoc_sdram_bankmachine6_cmd_payload_is_write);
@ -5419,7 +5627,12 @@ always @(*) begin
end end
end end
end end
assign soc_netsoc_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_din = {soc_netsoc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_last, soc_netsoc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_first, soc_netsoc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_addr, soc_netsoc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_we}; assign soc_netsoc_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_din = {
soc_netsoc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_last,
soc_netsoc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_first,
soc_netsoc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_addr,
soc_netsoc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_we
};
assign {soc_netsoc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_last, soc_netsoc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_first, soc_netsoc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr, soc_netsoc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we} = soc_netsoc_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout; assign {soc_netsoc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_last, soc_netsoc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_first, soc_netsoc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr, soc_netsoc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we} = soc_netsoc_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout;
assign soc_netsoc_sdram_bankmachine6_cmd_buffer_lookahead_sink_ready = soc_netsoc_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable; assign soc_netsoc_sdram_bankmachine6_cmd_buffer_lookahead_sink_ready = soc_netsoc_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable;
assign soc_netsoc_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_we = soc_netsoc_sdram_bankmachine6_cmd_buffer_lookahead_sink_valid; assign soc_netsoc_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_we = soc_netsoc_sdram_bankmachine6_cmd_buffer_lookahead_sink_valid;
@ -5567,7 +5780,9 @@ always @(*) begin
if (soc_netsoc_sdram_bankmachine7_row_col_n_addr_sel) begin if (soc_netsoc_sdram_bankmachine7_row_col_n_addr_sel) begin
soc_netsoc_sdram_bankmachine7_cmd_payload_a <= soc_netsoc_sdram_bankmachine7_cmd_buffer_source_payload_addr[20:7]; soc_netsoc_sdram_bankmachine7_cmd_payload_a <= soc_netsoc_sdram_bankmachine7_cmd_buffer_source_payload_addr[20:7];
end else begin end else begin
soc_netsoc_sdram_bankmachine7_cmd_payload_a <= ((soc_netsoc_sdram_bankmachine7_auto_precharge <<< 4'd10) | {soc_netsoc_sdram_bankmachine7_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); soc_netsoc_sdram_bankmachine7_cmd_payload_a <= ((soc_netsoc_sdram_bankmachine7_auto_precharge <<< 4'd10) | {
soc_netsoc_sdram_bankmachine7_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}
});
end end
end end
assign soc_netsoc_sdram_bankmachine7_twtpcon_valid = ((soc_netsoc_sdram_bankmachine7_cmd_valid & soc_netsoc_sdram_bankmachine7_cmd_ready) & soc_netsoc_sdram_bankmachine7_cmd_payload_is_write); assign soc_netsoc_sdram_bankmachine7_twtpcon_valid = ((soc_netsoc_sdram_bankmachine7_cmd_valid & soc_netsoc_sdram_bankmachine7_cmd_ready) & soc_netsoc_sdram_bankmachine7_cmd_payload_is_write);
@ -5581,7 +5796,12 @@ always @(*) begin
end end
end end
end end
assign soc_netsoc_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_din = {soc_netsoc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_last, soc_netsoc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_first, soc_netsoc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_addr, soc_netsoc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_we}; assign soc_netsoc_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_din = {
soc_netsoc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_last,
soc_netsoc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_first,
soc_netsoc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_addr,
soc_netsoc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_we
};
assign {soc_netsoc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_last, soc_netsoc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_first, soc_netsoc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr, soc_netsoc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we} = soc_netsoc_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout; assign {soc_netsoc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_last, soc_netsoc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_first, soc_netsoc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr, soc_netsoc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we} = soc_netsoc_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout;
assign soc_netsoc_sdram_bankmachine7_cmd_buffer_lookahead_sink_ready = soc_netsoc_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable; assign soc_netsoc_sdram_bankmachine7_cmd_buffer_lookahead_sink_ready = soc_netsoc_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable;
assign soc_netsoc_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_we = soc_netsoc_sdram_bankmachine7_cmd_buffer_lookahead_sink_valid; assign soc_netsoc_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_we = soc_netsoc_sdram_bankmachine7_cmd_buffer_lookahead_sink_valid;
@ -5729,7 +5949,12 @@ assign soc_netsoc_sdram_bankmachine5_refresh_req = soc_netsoc_sdram_cmd_valid;
assign soc_netsoc_sdram_bankmachine6_refresh_req = soc_netsoc_sdram_cmd_valid; assign soc_netsoc_sdram_bankmachine6_refresh_req = soc_netsoc_sdram_cmd_valid;
assign soc_netsoc_sdram_bankmachine7_refresh_req = soc_netsoc_sdram_cmd_valid; assign soc_netsoc_sdram_bankmachine7_refresh_req = soc_netsoc_sdram_cmd_valid;
assign soc_netsoc_sdram_go_to_refresh = (((((((soc_netsoc_sdram_bankmachine0_refresh_gnt & soc_netsoc_sdram_bankmachine1_refresh_gnt) & soc_netsoc_sdram_bankmachine2_refresh_gnt) & soc_netsoc_sdram_bankmachine3_refresh_gnt) & soc_netsoc_sdram_bankmachine4_refresh_gnt) & soc_netsoc_sdram_bankmachine5_refresh_gnt) & soc_netsoc_sdram_bankmachine6_refresh_gnt) & soc_netsoc_sdram_bankmachine7_refresh_gnt); assign soc_netsoc_sdram_go_to_refresh = (((((((soc_netsoc_sdram_bankmachine0_refresh_gnt & soc_netsoc_sdram_bankmachine1_refresh_gnt) & soc_netsoc_sdram_bankmachine2_refresh_gnt) & soc_netsoc_sdram_bankmachine3_refresh_gnt) & soc_netsoc_sdram_bankmachine4_refresh_gnt) & soc_netsoc_sdram_bankmachine5_refresh_gnt) & soc_netsoc_sdram_bankmachine6_refresh_gnt) & soc_netsoc_sdram_bankmachine7_refresh_gnt);
assign soc_netsoc_sdram_interface_rdata = {soc_netsoc_sdram_dfi_p3_rddata, soc_netsoc_sdram_dfi_p2_rddata, soc_netsoc_sdram_dfi_p1_rddata, soc_netsoc_sdram_dfi_p0_rddata}; assign soc_netsoc_sdram_interface_rdata = {
soc_netsoc_sdram_dfi_p3_rddata,
soc_netsoc_sdram_dfi_p2_rddata,
soc_netsoc_sdram_dfi_p1_rddata,
soc_netsoc_sdram_dfi_p0_rddata
};
assign {soc_netsoc_sdram_dfi_p3_wrdata, soc_netsoc_sdram_dfi_p2_wrdata, soc_netsoc_sdram_dfi_p1_wrdata, soc_netsoc_sdram_dfi_p0_wrdata} = soc_netsoc_sdram_interface_wdata; assign {soc_netsoc_sdram_dfi_p3_wrdata, soc_netsoc_sdram_dfi_p2_wrdata, soc_netsoc_sdram_dfi_p1_wrdata, soc_netsoc_sdram_dfi_p0_wrdata} = soc_netsoc_sdram_interface_wdata;
assign {soc_netsoc_sdram_dfi_p3_wrdata_mask, soc_netsoc_sdram_dfi_p2_wrdata_mask, soc_netsoc_sdram_dfi_p1_wrdata_mask, soc_netsoc_sdram_dfi_p0_wrdata_mask} = (~soc_netsoc_sdram_interface_wdata_we); assign {soc_netsoc_sdram_dfi_p3_wrdata_mask, soc_netsoc_sdram_dfi_p2_wrdata_mask, soc_netsoc_sdram_dfi_p1_wrdata_mask, soc_netsoc_sdram_dfi_p0_wrdata_mask} = (~soc_netsoc_sdram_interface_wdata_we);
always @(*) begin always @(*) begin
@ -5991,42 +6216,58 @@ always @(*) begin
end end
endcase endcase
end end
assign vns_roundrobin0_request = {(((soc_netsoc_port_cmd_payload_addr[9:7] == 1'd0) & (~(((((((vns_locked0 | (soc_netsoc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd0))))) & soc_netsoc_port_cmd_valid)}; assign vns_roundrobin0_request = {
(((soc_netsoc_port_cmd_payload_addr[9:7] == 1'd0) & (~(((((((vns_locked0 | (soc_netsoc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd0))))) & soc_netsoc_port_cmd_valid)
};
assign vns_roundrobin0_ce = ((~soc_netsoc_sdram_interface_bank0_valid) & (~soc_netsoc_sdram_interface_bank0_lock)); assign vns_roundrobin0_ce = ((~soc_netsoc_sdram_interface_bank0_valid) & (~soc_netsoc_sdram_interface_bank0_lock));
assign soc_netsoc_sdram_interface_bank0_addr = vns_rhs_array_muxed12; assign soc_netsoc_sdram_interface_bank0_addr = vns_rhs_array_muxed12;
assign soc_netsoc_sdram_interface_bank0_we = vns_rhs_array_muxed13; assign soc_netsoc_sdram_interface_bank0_we = vns_rhs_array_muxed13;
assign soc_netsoc_sdram_interface_bank0_valid = vns_rhs_array_muxed14; assign soc_netsoc_sdram_interface_bank0_valid = vns_rhs_array_muxed14;
assign vns_roundrobin1_request = {(((soc_netsoc_port_cmd_payload_addr[9:7] == 1'd1) & (~(((((((vns_locked1 | (soc_netsoc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd0))))) & soc_netsoc_port_cmd_valid)}; assign vns_roundrobin1_request = {
(((soc_netsoc_port_cmd_payload_addr[9:7] == 1'd1) & (~(((((((vns_locked1 | (soc_netsoc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd0))))) & soc_netsoc_port_cmd_valid)
};
assign vns_roundrobin1_ce = ((~soc_netsoc_sdram_interface_bank1_valid) & (~soc_netsoc_sdram_interface_bank1_lock)); assign vns_roundrobin1_ce = ((~soc_netsoc_sdram_interface_bank1_valid) & (~soc_netsoc_sdram_interface_bank1_lock));
assign soc_netsoc_sdram_interface_bank1_addr = vns_rhs_array_muxed15; assign soc_netsoc_sdram_interface_bank1_addr = vns_rhs_array_muxed15;
assign soc_netsoc_sdram_interface_bank1_we = vns_rhs_array_muxed16; assign soc_netsoc_sdram_interface_bank1_we = vns_rhs_array_muxed16;
assign soc_netsoc_sdram_interface_bank1_valid = vns_rhs_array_muxed17; assign soc_netsoc_sdram_interface_bank1_valid = vns_rhs_array_muxed17;
assign vns_roundrobin2_request = {(((soc_netsoc_port_cmd_payload_addr[9:7] == 2'd2) & (~(((((((vns_locked2 | (soc_netsoc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd0))))) & soc_netsoc_port_cmd_valid)}; assign vns_roundrobin2_request = {
(((soc_netsoc_port_cmd_payload_addr[9:7] == 2'd2) & (~(((((((vns_locked2 | (soc_netsoc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd0))))) & soc_netsoc_port_cmd_valid)
};
assign vns_roundrobin2_ce = ((~soc_netsoc_sdram_interface_bank2_valid) & (~soc_netsoc_sdram_interface_bank2_lock)); assign vns_roundrobin2_ce = ((~soc_netsoc_sdram_interface_bank2_valid) & (~soc_netsoc_sdram_interface_bank2_lock));
assign soc_netsoc_sdram_interface_bank2_addr = vns_rhs_array_muxed18; assign soc_netsoc_sdram_interface_bank2_addr = vns_rhs_array_muxed18;
assign soc_netsoc_sdram_interface_bank2_we = vns_rhs_array_muxed19; assign soc_netsoc_sdram_interface_bank2_we = vns_rhs_array_muxed19;
assign soc_netsoc_sdram_interface_bank2_valid = vns_rhs_array_muxed20; assign soc_netsoc_sdram_interface_bank2_valid = vns_rhs_array_muxed20;
assign vns_roundrobin3_request = {(((soc_netsoc_port_cmd_payload_addr[9:7] == 2'd3) & (~(((((((vns_locked3 | (soc_netsoc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd0))))) & soc_netsoc_port_cmd_valid)}; assign vns_roundrobin3_request = {
(((soc_netsoc_port_cmd_payload_addr[9:7] == 2'd3) & (~(((((((vns_locked3 | (soc_netsoc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd0))))) & soc_netsoc_port_cmd_valid)
};
assign vns_roundrobin3_ce = ((~soc_netsoc_sdram_interface_bank3_valid) & (~soc_netsoc_sdram_interface_bank3_lock)); assign vns_roundrobin3_ce = ((~soc_netsoc_sdram_interface_bank3_valid) & (~soc_netsoc_sdram_interface_bank3_lock));
assign soc_netsoc_sdram_interface_bank3_addr = vns_rhs_array_muxed21; assign soc_netsoc_sdram_interface_bank3_addr = vns_rhs_array_muxed21;
assign soc_netsoc_sdram_interface_bank3_we = vns_rhs_array_muxed22; assign soc_netsoc_sdram_interface_bank3_we = vns_rhs_array_muxed22;
assign soc_netsoc_sdram_interface_bank3_valid = vns_rhs_array_muxed23; assign soc_netsoc_sdram_interface_bank3_valid = vns_rhs_array_muxed23;
assign vns_roundrobin4_request = {(((soc_netsoc_port_cmd_payload_addr[9:7] == 3'd4) & (~(((((((vns_locked4 | (soc_netsoc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd0))))) & soc_netsoc_port_cmd_valid)}; assign vns_roundrobin4_request = {
(((soc_netsoc_port_cmd_payload_addr[9:7] == 3'd4) & (~(((((((vns_locked4 | (soc_netsoc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd0))))) & soc_netsoc_port_cmd_valid)
};
assign vns_roundrobin4_ce = ((~soc_netsoc_sdram_interface_bank4_valid) & (~soc_netsoc_sdram_interface_bank4_lock)); assign vns_roundrobin4_ce = ((~soc_netsoc_sdram_interface_bank4_valid) & (~soc_netsoc_sdram_interface_bank4_lock));
assign soc_netsoc_sdram_interface_bank4_addr = vns_rhs_array_muxed24; assign soc_netsoc_sdram_interface_bank4_addr = vns_rhs_array_muxed24;
assign soc_netsoc_sdram_interface_bank4_we = vns_rhs_array_muxed25; assign soc_netsoc_sdram_interface_bank4_we = vns_rhs_array_muxed25;
assign soc_netsoc_sdram_interface_bank4_valid = vns_rhs_array_muxed26; assign soc_netsoc_sdram_interface_bank4_valid = vns_rhs_array_muxed26;
assign vns_roundrobin5_request = {(((soc_netsoc_port_cmd_payload_addr[9:7] == 3'd5) & (~(((((((vns_locked5 | (soc_netsoc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd0))))) & soc_netsoc_port_cmd_valid)}; assign vns_roundrobin5_request = {
(((soc_netsoc_port_cmd_payload_addr[9:7] == 3'd5) & (~(((((((vns_locked5 | (soc_netsoc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd0))))) & soc_netsoc_port_cmd_valid)
};
assign vns_roundrobin5_ce = ((~soc_netsoc_sdram_interface_bank5_valid) & (~soc_netsoc_sdram_interface_bank5_lock)); assign vns_roundrobin5_ce = ((~soc_netsoc_sdram_interface_bank5_valid) & (~soc_netsoc_sdram_interface_bank5_lock));
assign soc_netsoc_sdram_interface_bank5_addr = vns_rhs_array_muxed27; assign soc_netsoc_sdram_interface_bank5_addr = vns_rhs_array_muxed27;
assign soc_netsoc_sdram_interface_bank5_we = vns_rhs_array_muxed28; assign soc_netsoc_sdram_interface_bank5_we = vns_rhs_array_muxed28;
assign soc_netsoc_sdram_interface_bank5_valid = vns_rhs_array_muxed29; assign soc_netsoc_sdram_interface_bank5_valid = vns_rhs_array_muxed29;
assign vns_roundrobin6_request = {(((soc_netsoc_port_cmd_payload_addr[9:7] == 3'd6) & (~(((((((vns_locked6 | (soc_netsoc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd0))))) & soc_netsoc_port_cmd_valid)}; assign vns_roundrobin6_request = {
(((soc_netsoc_port_cmd_payload_addr[9:7] == 3'd6) & (~(((((((vns_locked6 | (soc_netsoc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd0))))) & soc_netsoc_port_cmd_valid)
};
assign vns_roundrobin6_ce = ((~soc_netsoc_sdram_interface_bank6_valid) & (~soc_netsoc_sdram_interface_bank6_lock)); assign vns_roundrobin6_ce = ((~soc_netsoc_sdram_interface_bank6_valid) & (~soc_netsoc_sdram_interface_bank6_lock));
assign soc_netsoc_sdram_interface_bank6_addr = vns_rhs_array_muxed30; assign soc_netsoc_sdram_interface_bank6_addr = vns_rhs_array_muxed30;
assign soc_netsoc_sdram_interface_bank6_we = vns_rhs_array_muxed31; assign soc_netsoc_sdram_interface_bank6_we = vns_rhs_array_muxed31;
assign soc_netsoc_sdram_interface_bank6_valid = vns_rhs_array_muxed32; assign soc_netsoc_sdram_interface_bank6_valid = vns_rhs_array_muxed32;
assign vns_roundrobin7_request = {(((soc_netsoc_port_cmd_payload_addr[9:7] == 3'd7) & (~(((((((vns_locked7 | (soc_netsoc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd0))))) & soc_netsoc_port_cmd_valid)}; assign vns_roundrobin7_request = {
(((soc_netsoc_port_cmd_payload_addr[9:7] == 3'd7) & (~(((((((vns_locked7 | (soc_netsoc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd0))) | (soc_netsoc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd0))))) & soc_netsoc_port_cmd_valid)
};
assign vns_roundrobin7_ce = ((~soc_netsoc_sdram_interface_bank7_valid) & (~soc_netsoc_sdram_interface_bank7_lock)); assign vns_roundrobin7_ce = ((~soc_netsoc_sdram_interface_bank7_valid) & (~soc_netsoc_sdram_interface_bank7_lock));
assign soc_netsoc_sdram_interface_bank7_addr = vns_rhs_array_muxed33; assign soc_netsoc_sdram_interface_bank7_addr = vns_rhs_array_muxed33;
assign soc_netsoc_sdram_interface_bank7_we = vns_rhs_array_muxed34; assign soc_netsoc_sdram_interface_bank7_we = vns_rhs_array_muxed34;
@ -6037,7 +6278,9 @@ assign soc_netsoc_port_rdata_valid = vns_new_master_rdata_valid9;
always @(*) begin always @(*) begin
soc_netsoc_sdram_interface_wdata <= 128'd0; soc_netsoc_sdram_interface_wdata <= 128'd0;
soc_netsoc_sdram_interface_wdata_we <= 16'd0; soc_netsoc_sdram_interface_wdata_we <= 16'd0;
case ({vns_new_master_wdata_ready2}) case ({
vns_new_master_wdata_ready2
})
1'd1: begin 1'd1: begin
soc_netsoc_sdram_interface_wdata <= soc_netsoc_port_wdata_payload_data; soc_netsoc_sdram_interface_wdata <= soc_netsoc_port_wdata_payload_data;
soc_netsoc_sdram_interface_wdata_we <= soc_netsoc_port_wdata_payload_we; soc_netsoc_sdram_interface_wdata_we <= soc_netsoc_port_wdata_payload_we;
@ -6067,7 +6310,12 @@ always @(*) begin
end else begin end else begin
soc_netsoc_data_port_dat_w <= {4{soc_netsoc_interface0_wb_sdram_dat_w}}; soc_netsoc_data_port_dat_w <= {4{soc_netsoc_interface0_wb_sdram_dat_w}};
if ((((soc_netsoc_interface0_wb_sdram_cyc & soc_netsoc_interface0_wb_sdram_stb) & soc_netsoc_interface0_wb_sdram_we) & soc_netsoc_interface0_wb_sdram_ack)) begin if ((((soc_netsoc_interface0_wb_sdram_cyc & soc_netsoc_interface0_wb_sdram_stb) & soc_netsoc_interface0_wb_sdram_we) & soc_netsoc_interface0_wb_sdram_ack)) begin
soc_netsoc_data_port_we <= {({4{(soc_netsoc_interface0_wb_sdram_adr[1:0] == 1'd0)}} & soc_netsoc_interface0_wb_sdram_sel), ({4{(soc_netsoc_interface0_wb_sdram_adr[1:0] == 1'd1)}} & soc_netsoc_interface0_wb_sdram_sel), ({4{(soc_netsoc_interface0_wb_sdram_adr[1:0] == 2'd2)}} & soc_netsoc_interface0_wb_sdram_sel), ({4{(soc_netsoc_interface0_wb_sdram_adr[1:0] == 2'd3)}} & soc_netsoc_interface0_wb_sdram_sel)}; soc_netsoc_data_port_we <= {
({4{(soc_netsoc_interface0_wb_sdram_adr[1:0] == 1'd0)}} & soc_netsoc_interface0_wb_sdram_sel),
({4{(soc_netsoc_interface0_wb_sdram_adr[1:0] == 1'd1)}} & soc_netsoc_interface0_wb_sdram_sel),
({4{(soc_netsoc_interface0_wb_sdram_adr[1:0] == 2'd2)}} & soc_netsoc_interface0_wb_sdram_sel),
({4{(soc_netsoc_interface0_wb_sdram_adr[1:0] == 2'd3)}} & soc_netsoc_interface0_wb_sdram_sel)
};
end end
end end
end end
@ -6182,7 +6430,9 @@ assign soc_netsoc_wdata_converter_converter_sink_valid = soc_netsoc_wdata_conver
assign soc_netsoc_wdata_converter_converter_sink_first = soc_netsoc_wdata_converter_sink_first; assign soc_netsoc_wdata_converter_converter_sink_first = soc_netsoc_wdata_converter_sink_first;
assign soc_netsoc_wdata_converter_converter_sink_last = soc_netsoc_wdata_converter_sink_last; assign soc_netsoc_wdata_converter_converter_sink_last = soc_netsoc_wdata_converter_sink_last;
assign soc_netsoc_wdata_converter_sink_ready = soc_netsoc_wdata_converter_converter_sink_ready; assign soc_netsoc_wdata_converter_sink_ready = soc_netsoc_wdata_converter_converter_sink_ready;
assign soc_netsoc_wdata_converter_converter_sink_payload_data = {soc_netsoc_wdata_converter_sink_payload_we, soc_netsoc_wdata_converter_sink_payload_data}; assign soc_netsoc_wdata_converter_converter_sink_payload_data = {
soc_netsoc_wdata_converter_sink_payload_we, soc_netsoc_wdata_converter_sink_payload_data
};
assign soc_netsoc_wdata_converter_source_valid = soc_netsoc_wdata_converter_source_source_valid; assign soc_netsoc_wdata_converter_source_valid = soc_netsoc_wdata_converter_source_source_valid;
assign soc_netsoc_wdata_converter_source_first = soc_netsoc_wdata_converter_source_source_first; assign soc_netsoc_wdata_converter_source_first = soc_netsoc_wdata_converter_source_source_first;
assign soc_netsoc_wdata_converter_source_last = soc_netsoc_wdata_converter_source_source_last; assign soc_netsoc_wdata_converter_source_last = soc_netsoc_wdata_converter_source_source_last;
@ -6203,7 +6453,9 @@ assign soc_netsoc_rdata_converter_converter_sink_valid = soc_netsoc_rdata_conver
assign soc_netsoc_rdata_converter_converter_sink_first = soc_netsoc_rdata_converter_sink_first; assign soc_netsoc_rdata_converter_converter_sink_first = soc_netsoc_rdata_converter_sink_first;
assign soc_netsoc_rdata_converter_converter_sink_last = soc_netsoc_rdata_converter_sink_last; assign soc_netsoc_rdata_converter_converter_sink_last = soc_netsoc_rdata_converter_sink_last;
assign soc_netsoc_rdata_converter_sink_ready = soc_netsoc_rdata_converter_converter_sink_ready; assign soc_netsoc_rdata_converter_sink_ready = soc_netsoc_rdata_converter_converter_sink_ready;
assign soc_netsoc_rdata_converter_converter_sink_payload_data = {soc_netsoc_rdata_converter_sink_payload_data}; assign soc_netsoc_rdata_converter_converter_sink_payload_data = {
soc_netsoc_rdata_converter_sink_payload_data
};
assign soc_netsoc_rdata_converter_source_valid = soc_netsoc_rdata_converter_source_source_valid; assign soc_netsoc_rdata_converter_source_valid = soc_netsoc_rdata_converter_source_source_valid;
assign soc_netsoc_rdata_converter_source_first = soc_netsoc_rdata_converter_source_source_first; assign soc_netsoc_rdata_converter_source_first = soc_netsoc_rdata_converter_source_source_first;
assign soc_netsoc_rdata_converter_source_last = soc_netsoc_rdata_converter_source_source_last; assign soc_netsoc_rdata_converter_source_last = soc_netsoc_rdata_converter_source_source_last;
@ -6320,7 +6572,9 @@ assign soc_liteethphymiirx_converter_converter_sink_valid = soc_liteethphymiirx_
assign soc_liteethphymiirx_converter_converter_sink_first = soc_liteethphymiirx_converter_sink_first; assign soc_liteethphymiirx_converter_converter_sink_first = soc_liteethphymiirx_converter_sink_first;
assign soc_liteethphymiirx_converter_converter_sink_last = soc_liteethphymiirx_converter_sink_last; assign soc_liteethphymiirx_converter_converter_sink_last = soc_liteethphymiirx_converter_sink_last;
assign soc_liteethphymiirx_converter_sink_ready = soc_liteethphymiirx_converter_converter_sink_ready; assign soc_liteethphymiirx_converter_sink_ready = soc_liteethphymiirx_converter_converter_sink_ready;
assign soc_liteethphymiirx_converter_converter_sink_payload_data = {soc_liteethphymiirx_converter_sink_payload_data}; assign soc_liteethphymiirx_converter_converter_sink_payload_data = {
soc_liteethphymiirx_converter_sink_payload_data
};
assign soc_liteethphymiirx_converter_source_valid = soc_liteethphymiirx_converter_source_source_valid; assign soc_liteethphymiirx_converter_source_valid = soc_liteethphymiirx_converter_source_source_valid;
assign soc_liteethphymiirx_converter_source_first = soc_liteethphymiirx_converter_source_source_first; assign soc_liteethphymiirx_converter_source_first = soc_liteethphymiirx_converter_source_source_first;
assign soc_liteethphymiirx_converter_source_last = soc_liteethphymiirx_converter_source_source_last; assign soc_liteethphymiirx_converter_source_last = soc_liteethphymiirx_converter_source_source_last;
@ -6497,7 +6751,40 @@ end
assign soc_crc32_inserter_cnt_done = (soc_crc32_inserter_cnt == 1'd0); assign soc_crc32_inserter_cnt_done = (soc_crc32_inserter_cnt == 1'd0);
assign soc_crc32_inserter_data1 = soc_crc32_inserter_data0; assign soc_crc32_inserter_data1 = soc_crc32_inserter_data0;
assign soc_crc32_inserter_last = soc_crc32_inserter_reg; assign soc_crc32_inserter_last = soc_crc32_inserter_reg;
assign soc_crc32_inserter_value = (~{soc_crc32_inserter_reg[0], soc_crc32_inserter_reg[1], soc_crc32_inserter_reg[2], soc_crc32_inserter_reg[3], soc_crc32_inserter_reg[4], soc_crc32_inserter_reg[5], soc_crc32_inserter_reg[6], soc_crc32_inserter_reg[7], soc_crc32_inserter_reg[8], soc_crc32_inserter_reg[9], soc_crc32_inserter_reg[10], soc_crc32_inserter_reg[11], soc_crc32_inserter_reg[12], soc_crc32_inserter_reg[13], soc_crc32_inserter_reg[14], soc_crc32_inserter_reg[15], soc_crc32_inserter_reg[16], soc_crc32_inserter_reg[17], soc_crc32_inserter_reg[18], soc_crc32_inserter_reg[19], soc_crc32_inserter_reg[20], soc_crc32_inserter_reg[21], soc_crc32_inserter_reg[22], soc_crc32_inserter_reg[23], soc_crc32_inserter_reg[24], soc_crc32_inserter_reg[25], soc_crc32_inserter_reg[26], soc_crc32_inserter_reg[27], soc_crc32_inserter_reg[28], soc_crc32_inserter_reg[29], soc_crc32_inserter_reg[30], soc_crc32_inserter_reg[31]}); assign soc_crc32_inserter_value = (~{
soc_crc32_inserter_reg[0],
soc_crc32_inserter_reg[1],
soc_crc32_inserter_reg[2],
soc_crc32_inserter_reg[3],
soc_crc32_inserter_reg[4],
soc_crc32_inserter_reg[5],
soc_crc32_inserter_reg[6],
soc_crc32_inserter_reg[7],
soc_crc32_inserter_reg[8],
soc_crc32_inserter_reg[9],
soc_crc32_inserter_reg[10],
soc_crc32_inserter_reg[11],
soc_crc32_inserter_reg[12],
soc_crc32_inserter_reg[13],
soc_crc32_inserter_reg[14],
soc_crc32_inserter_reg[15],
soc_crc32_inserter_reg[16],
soc_crc32_inserter_reg[17],
soc_crc32_inserter_reg[18],
soc_crc32_inserter_reg[19],
soc_crc32_inserter_reg[20],
soc_crc32_inserter_reg[21],
soc_crc32_inserter_reg[22],
soc_crc32_inserter_reg[23],
soc_crc32_inserter_reg[24],
soc_crc32_inserter_reg[25],
soc_crc32_inserter_reg[26],
soc_crc32_inserter_reg[27],
soc_crc32_inserter_reg[28],
soc_crc32_inserter_reg[29],
soc_crc32_inserter_reg[30],
soc_crc32_inserter_reg[31]
});
assign soc_crc32_inserter_error = (soc_crc32_inserter_next != 32'd3338984827); assign soc_crc32_inserter_error = (soc_crc32_inserter_next != 32'd3338984827);
always @(*) begin always @(*) begin
soc_crc32_inserter_next <= 32'd0; soc_crc32_inserter_next <= 32'd0;
@ -6632,7 +6919,40 @@ assign soc_crc32_checker_error = ((soc_crc32_checker_source_source_valid & soc_c
assign soc_crc32_checker_crc_data0 = soc_crc32_checker_sink_sink_payload_data; assign soc_crc32_checker_crc_data0 = soc_crc32_checker_sink_sink_payload_data;
assign soc_crc32_checker_crc_data1 = soc_crc32_checker_crc_data0; assign soc_crc32_checker_crc_data1 = soc_crc32_checker_crc_data0;
assign soc_crc32_checker_crc_last = soc_crc32_checker_crc_reg; assign soc_crc32_checker_crc_last = soc_crc32_checker_crc_reg;
assign soc_crc32_checker_crc_value = (~{soc_crc32_checker_crc_reg[0], soc_crc32_checker_crc_reg[1], soc_crc32_checker_crc_reg[2], soc_crc32_checker_crc_reg[3], soc_crc32_checker_crc_reg[4], soc_crc32_checker_crc_reg[5], soc_crc32_checker_crc_reg[6], soc_crc32_checker_crc_reg[7], soc_crc32_checker_crc_reg[8], soc_crc32_checker_crc_reg[9], soc_crc32_checker_crc_reg[10], soc_crc32_checker_crc_reg[11], soc_crc32_checker_crc_reg[12], soc_crc32_checker_crc_reg[13], soc_crc32_checker_crc_reg[14], soc_crc32_checker_crc_reg[15], soc_crc32_checker_crc_reg[16], soc_crc32_checker_crc_reg[17], soc_crc32_checker_crc_reg[18], soc_crc32_checker_crc_reg[19], soc_crc32_checker_crc_reg[20], soc_crc32_checker_crc_reg[21], soc_crc32_checker_crc_reg[22], soc_crc32_checker_crc_reg[23], soc_crc32_checker_crc_reg[24], soc_crc32_checker_crc_reg[25], soc_crc32_checker_crc_reg[26], soc_crc32_checker_crc_reg[27], soc_crc32_checker_crc_reg[28], soc_crc32_checker_crc_reg[29], soc_crc32_checker_crc_reg[30], soc_crc32_checker_crc_reg[31]}); assign soc_crc32_checker_crc_value = (~{
soc_crc32_checker_crc_reg[0],
soc_crc32_checker_crc_reg[1],
soc_crc32_checker_crc_reg[2],
soc_crc32_checker_crc_reg[3],
soc_crc32_checker_crc_reg[4],
soc_crc32_checker_crc_reg[5],
soc_crc32_checker_crc_reg[6],
soc_crc32_checker_crc_reg[7],
soc_crc32_checker_crc_reg[8],
soc_crc32_checker_crc_reg[9],
soc_crc32_checker_crc_reg[10],
soc_crc32_checker_crc_reg[11],
soc_crc32_checker_crc_reg[12],
soc_crc32_checker_crc_reg[13],
soc_crc32_checker_crc_reg[14],
soc_crc32_checker_crc_reg[15],
soc_crc32_checker_crc_reg[16],
soc_crc32_checker_crc_reg[17],
soc_crc32_checker_crc_reg[18],
soc_crc32_checker_crc_reg[19],
soc_crc32_checker_crc_reg[20],
soc_crc32_checker_crc_reg[21],
soc_crc32_checker_crc_reg[22],
soc_crc32_checker_crc_reg[23],
soc_crc32_checker_crc_reg[24],
soc_crc32_checker_crc_reg[25],
soc_crc32_checker_crc_reg[26],
soc_crc32_checker_crc_reg[27],
soc_crc32_checker_crc_reg[28],
soc_crc32_checker_crc_reg[29],
soc_crc32_checker_crc_reg[30],
soc_crc32_checker_crc_reg[31]
});
assign soc_crc32_checker_crc_error = (soc_crc32_checker_crc_next != 32'd3338984827); assign soc_crc32_checker_crc_error = (soc_crc32_checker_crc_next != 32'd3338984827);
always @(*) begin always @(*) begin
soc_crc32_checker_crc_next <= 32'd0; soc_crc32_checker_crc_next <= 32'd0;
@ -6669,7 +6989,13 @@ always @(*) begin
soc_crc32_checker_crc_next[30] <= ((((soc_crc32_checker_crc_last[22] ^ soc_crc32_checker_crc_last[31]) ^ soc_crc32_checker_crc_data1[0]) ^ soc_crc32_checker_crc_last[28]) ^ soc_crc32_checker_crc_data1[3]); soc_crc32_checker_crc_next[30] <= ((((soc_crc32_checker_crc_last[22] ^ soc_crc32_checker_crc_last[31]) ^ soc_crc32_checker_crc_data1[0]) ^ soc_crc32_checker_crc_last[28]) ^ soc_crc32_checker_crc_data1[3]);
soc_crc32_checker_crc_next[31] <= ((soc_crc32_checker_crc_last[23] ^ soc_crc32_checker_crc_last[29]) ^ soc_crc32_checker_crc_data1[2]); soc_crc32_checker_crc_next[31] <= ((soc_crc32_checker_crc_last[23] ^ soc_crc32_checker_crc_last[29]) ^ soc_crc32_checker_crc_data1[2]);
end end
assign soc_crc32_checker_syncfifo_syncfifo_din = {soc_crc32_checker_syncfifo_fifo_in_last, soc_crc32_checker_syncfifo_fifo_in_first, soc_crc32_checker_syncfifo_fifo_in_payload_error, soc_crc32_checker_syncfifo_fifo_in_payload_last_be, soc_crc32_checker_syncfifo_fifo_in_payload_data}; assign soc_crc32_checker_syncfifo_syncfifo_din = {
soc_crc32_checker_syncfifo_fifo_in_last,
soc_crc32_checker_syncfifo_fifo_in_first,
soc_crc32_checker_syncfifo_fifo_in_payload_error,
soc_crc32_checker_syncfifo_fifo_in_payload_last_be,
soc_crc32_checker_syncfifo_fifo_in_payload_data
};
assign {soc_crc32_checker_syncfifo_fifo_out_last, soc_crc32_checker_syncfifo_fifo_out_first, soc_crc32_checker_syncfifo_fifo_out_payload_error, soc_crc32_checker_syncfifo_fifo_out_payload_last_be, soc_crc32_checker_syncfifo_fifo_out_payload_data} = soc_crc32_checker_syncfifo_syncfifo_dout; assign {soc_crc32_checker_syncfifo_fifo_out_last, soc_crc32_checker_syncfifo_fifo_out_first, soc_crc32_checker_syncfifo_fifo_out_payload_error, soc_crc32_checker_syncfifo_fifo_out_payload_last_be, soc_crc32_checker_syncfifo_fifo_out_payload_data} = soc_crc32_checker_syncfifo_syncfifo_dout;
assign soc_crc32_checker_syncfifo_sink_ready = soc_crc32_checker_syncfifo_syncfifo_writable; assign soc_crc32_checker_syncfifo_sink_ready = soc_crc32_checker_syncfifo_syncfifo_writable;
assign soc_crc32_checker_syncfifo_syncfifo_we = soc_crc32_checker_syncfifo_sink_valid; assign soc_crc32_checker_syncfifo_syncfifo_we = soc_crc32_checker_syncfifo_sink_valid;
@ -6857,7 +7183,11 @@ assign soc_rx_converter_converter_sink_valid = soc_rx_converter_sink_valid;
assign soc_rx_converter_converter_sink_first = soc_rx_converter_sink_first; assign soc_rx_converter_converter_sink_first = soc_rx_converter_sink_first;
assign soc_rx_converter_converter_sink_last = soc_rx_converter_sink_last; assign soc_rx_converter_converter_sink_last = soc_rx_converter_sink_last;
assign soc_rx_converter_sink_ready = soc_rx_converter_converter_sink_ready; assign soc_rx_converter_sink_ready = soc_rx_converter_converter_sink_ready;
assign soc_rx_converter_converter_sink_payload_data = {soc_rx_converter_sink_payload_error, soc_rx_converter_sink_payload_last_be, soc_rx_converter_sink_payload_data}; assign soc_rx_converter_converter_sink_payload_data = {
soc_rx_converter_sink_payload_error,
soc_rx_converter_sink_payload_last_be,
soc_rx_converter_sink_payload_data
};
assign soc_rx_converter_source_valid = soc_rx_converter_source_source_valid; assign soc_rx_converter_source_valid = soc_rx_converter_source_source_valid;
assign soc_rx_converter_source_first = soc_rx_converter_source_source_first; assign soc_rx_converter_source_first = soc_rx_converter_source_source_first;
assign soc_rx_converter_source_last = soc_rx_converter_source_source_last; assign soc_rx_converter_source_last = soc_rx_converter_source_source_last;
@ -6891,7 +7221,13 @@ assign soc_rx_converter_source_source_payload_data = soc_rx_converter_converter_
assign soc_rx_converter_converter_sink_ready = ((~soc_rx_converter_converter_strobe_all) | soc_rx_converter_converter_source_ready); assign soc_rx_converter_converter_sink_ready = ((~soc_rx_converter_converter_strobe_all) | soc_rx_converter_converter_source_ready);
assign soc_rx_converter_converter_source_valid = soc_rx_converter_converter_strobe_all; assign soc_rx_converter_converter_source_valid = soc_rx_converter_converter_strobe_all;
assign soc_rx_converter_converter_load_part = (soc_rx_converter_converter_sink_valid & soc_rx_converter_converter_sink_ready); assign soc_rx_converter_converter_load_part = (soc_rx_converter_converter_sink_valid & soc_rx_converter_converter_sink_ready);
assign soc_tx_cdc_asyncfifo_din = {soc_tx_cdc_fifo_in_last, soc_tx_cdc_fifo_in_first, soc_tx_cdc_fifo_in_payload_error, soc_tx_cdc_fifo_in_payload_last_be, soc_tx_cdc_fifo_in_payload_data}; assign soc_tx_cdc_asyncfifo_din = {
soc_tx_cdc_fifo_in_last,
soc_tx_cdc_fifo_in_first,
soc_tx_cdc_fifo_in_payload_error,
soc_tx_cdc_fifo_in_payload_last_be,
soc_tx_cdc_fifo_in_payload_data
};
assign {soc_tx_cdc_fifo_out_last, soc_tx_cdc_fifo_out_first, soc_tx_cdc_fifo_out_payload_error, soc_tx_cdc_fifo_out_payload_last_be, soc_tx_cdc_fifo_out_payload_data} = soc_tx_cdc_asyncfifo_dout; assign {soc_tx_cdc_fifo_out_last, soc_tx_cdc_fifo_out_first, soc_tx_cdc_fifo_out_payload_error, soc_tx_cdc_fifo_out_payload_last_be, soc_tx_cdc_fifo_out_payload_data} = soc_tx_cdc_asyncfifo_dout;
assign soc_tx_cdc_sink_ready = soc_tx_cdc_asyncfifo_writable; assign soc_tx_cdc_sink_ready = soc_tx_cdc_asyncfifo_writable;
assign soc_tx_cdc_asyncfifo_we = soc_tx_cdc_sink_valid; assign soc_tx_cdc_asyncfifo_we = soc_tx_cdc_sink_valid;
@ -6934,7 +7270,13 @@ always @(*) begin
end end
end end
assign soc_tx_cdc_graycounter1_q_next = (soc_tx_cdc_graycounter1_q_next_binary ^ soc_tx_cdc_graycounter1_q_next_binary[6:1]); assign soc_tx_cdc_graycounter1_q_next = (soc_tx_cdc_graycounter1_q_next_binary ^ soc_tx_cdc_graycounter1_q_next_binary[6:1]);
assign soc_rx_cdc_asyncfifo_din = {soc_rx_cdc_fifo_in_last, soc_rx_cdc_fifo_in_first, soc_rx_cdc_fifo_in_payload_error, soc_rx_cdc_fifo_in_payload_last_be, soc_rx_cdc_fifo_in_payload_data}; assign soc_rx_cdc_asyncfifo_din = {
soc_rx_cdc_fifo_in_last,
soc_rx_cdc_fifo_in_first,
soc_rx_cdc_fifo_in_payload_error,
soc_rx_cdc_fifo_in_payload_last_be,
soc_rx_cdc_fifo_in_payload_data
};
assign {soc_rx_cdc_fifo_out_last, soc_rx_cdc_fifo_out_first, soc_rx_cdc_fifo_out_payload_error, soc_rx_cdc_fifo_out_payload_last_be, soc_rx_cdc_fifo_out_payload_data} = soc_rx_cdc_asyncfifo_dout; assign {soc_rx_cdc_fifo_out_last, soc_rx_cdc_fifo_out_first, soc_rx_cdc_fifo_out_payload_error, soc_rx_cdc_fifo_out_payload_last_be, soc_rx_cdc_fifo_out_payload_data} = soc_rx_cdc_asyncfifo_dout;
assign soc_rx_cdc_sink_ready = soc_rx_cdc_asyncfifo_writable; assign soc_rx_cdc_sink_ready = soc_rx_cdc_asyncfifo_writable;
assign soc_rx_cdc_asyncfifo_we = soc_rx_cdc_sink_valid; assign soc_rx_cdc_asyncfifo_we = soc_rx_cdc_sink_valid;
@ -7140,7 +7482,12 @@ assign soc_writer_pending_w = soc_writer_available_pending;
assign soc_writer_irq = (soc_writer_pending_w & soc_writer_storage); assign soc_writer_irq = (soc_writer_pending_w & soc_writer_storage);
assign soc_writer_available_status = soc_writer_available_trigger; assign soc_writer_available_status = soc_writer_available_trigger;
assign soc_writer_available_pending = soc_writer_available_trigger; assign soc_writer_available_pending = soc_writer_available_trigger;
assign soc_writer_fifo_syncfifo_din = {soc_writer_fifo_fifo_in_last, soc_writer_fifo_fifo_in_first, soc_writer_fifo_fifo_in_payload_length, soc_writer_fifo_fifo_in_payload_slot}; assign soc_writer_fifo_syncfifo_din = {
soc_writer_fifo_fifo_in_last,
soc_writer_fifo_fifo_in_first,
soc_writer_fifo_fifo_in_payload_length,
soc_writer_fifo_fifo_in_payload_slot
};
assign {soc_writer_fifo_fifo_out_last, soc_writer_fifo_fifo_out_first, soc_writer_fifo_fifo_out_payload_length, soc_writer_fifo_fifo_out_payload_slot} = soc_writer_fifo_syncfifo_dout; assign {soc_writer_fifo_fifo_out_last, soc_writer_fifo_fifo_out_first, soc_writer_fifo_fifo_out_payload_length, soc_writer_fifo_fifo_out_payload_slot} = soc_writer_fifo_syncfifo_dout;
assign soc_writer_fifo_sink_ready = soc_writer_fifo_syncfifo_writable; assign soc_writer_fifo_sink_ready = soc_writer_fifo_syncfifo_writable;
assign soc_writer_fifo_syncfifo_we = soc_writer_fifo_sink_valid; assign soc_writer_fifo_syncfifo_we = soc_writer_fifo_sink_valid;
@ -7275,7 +7622,12 @@ end
assign soc_reader_eventmanager_pending_w = soc_reader_done_pending; assign soc_reader_eventmanager_pending_w = soc_reader_done_pending;
assign soc_reader_irq = (soc_reader_eventmanager_pending_w & soc_reader_eventmanager_storage); assign soc_reader_irq = (soc_reader_eventmanager_pending_w & soc_reader_eventmanager_storage);
assign soc_reader_done_status = 1'd0; assign soc_reader_done_status = 1'd0;
assign soc_reader_fifo_syncfifo_din = {soc_reader_fifo_fifo_in_last, soc_reader_fifo_fifo_in_first, soc_reader_fifo_fifo_in_payload_length, soc_reader_fifo_fifo_in_payload_slot}; assign soc_reader_fifo_syncfifo_din = {
soc_reader_fifo_fifo_in_last,
soc_reader_fifo_fifo_in_first,
soc_reader_fifo_fifo_in_payload_length,
soc_reader_fifo_fifo_in_payload_slot
};
assign {soc_reader_fifo_fifo_out_last, soc_reader_fifo_fifo_out_first, soc_reader_fifo_fifo_out_payload_length, soc_reader_fifo_fifo_out_payload_slot} = soc_reader_fifo_syncfifo_dout; assign {soc_reader_fifo_fifo_out_last, soc_reader_fifo_fifo_out_first, soc_reader_fifo_fifo_out_payload_length, soc_reader_fifo_fifo_out_payload_slot} = soc_reader_fifo_syncfifo_dout;
assign soc_reader_fifo_sink_ready = soc_reader_fifo_syncfifo_writable; assign soc_reader_fifo_sink_ready = soc_reader_fifo_syncfifo_writable;
assign soc_reader_fifo_syncfifo_we = soc_reader_fifo_sink_valid; assign soc_reader_fifo_syncfifo_we = soc_reader_fifo_sink_valid;
@ -7436,7 +7788,9 @@ assign soc_netsoc_interface0_soc_bus_ack = (vns_netsoc_shared_ack & (vns_netsoc_
assign soc_netsoc_interface1_soc_bus_ack = (vns_netsoc_shared_ack & (vns_netsoc_grant == 1'd1)); assign soc_netsoc_interface1_soc_bus_ack = (vns_netsoc_shared_ack & (vns_netsoc_grant == 1'd1));
assign soc_netsoc_interface0_soc_bus_err = (vns_netsoc_shared_err & (vns_netsoc_grant == 1'd0)); assign soc_netsoc_interface0_soc_bus_err = (vns_netsoc_shared_err & (vns_netsoc_grant == 1'd0));
assign soc_netsoc_interface1_soc_bus_err = (vns_netsoc_shared_err & (vns_netsoc_grant == 1'd1)); assign soc_netsoc_interface1_soc_bus_err = (vns_netsoc_shared_err & (vns_netsoc_grant == 1'd1));
assign vns_netsoc_request = {soc_netsoc_interface1_soc_bus_cyc, soc_netsoc_interface0_soc_bus_cyc}; assign vns_netsoc_request = {
soc_netsoc_interface1_soc_bus_cyc, soc_netsoc_interface0_soc_bus_cyc
};
always @(*) begin always @(*) begin
vns_netsoc_slave_sel <= 6'd0; vns_netsoc_slave_sel <= 6'd0;
vns_netsoc_slave_sel[0] <= (vns_netsoc_shared_adr[28:14] == 1'd0); vns_netsoc_slave_sel[0] <= (vns_netsoc_shared_adr[28:14] == 1'd0);
@ -8708,7 +9062,9 @@ always @(*) begin
vns_rhs_array_muxed12 <= 21'd0; vns_rhs_array_muxed12 <= 21'd0;
case (vns_roundrobin0_grant) case (vns_roundrobin0_grant)
default: begin default: begin
vns_rhs_array_muxed12 <= {soc_netsoc_port_cmd_payload_addr[23:10], soc_netsoc_port_cmd_payload_addr[6:0]}; vns_rhs_array_muxed12 <= {
soc_netsoc_port_cmd_payload_addr[23:10], soc_netsoc_port_cmd_payload_addr[6:0]
};
end end
endcase endcase
end end
@ -8732,7 +9088,9 @@ always @(*) begin
vns_rhs_array_muxed15 <= 21'd0; vns_rhs_array_muxed15 <= 21'd0;
case (vns_roundrobin1_grant) case (vns_roundrobin1_grant)
default: begin default: begin
vns_rhs_array_muxed15 <= {soc_netsoc_port_cmd_payload_addr[23:10], soc_netsoc_port_cmd_payload_addr[6:0]}; vns_rhs_array_muxed15 <= {
soc_netsoc_port_cmd_payload_addr[23:10], soc_netsoc_port_cmd_payload_addr[6:0]
};
end end
endcase endcase
end end
@ -8756,7 +9114,9 @@ always @(*) begin
vns_rhs_array_muxed18 <= 21'd0; vns_rhs_array_muxed18 <= 21'd0;
case (vns_roundrobin2_grant) case (vns_roundrobin2_grant)
default: begin default: begin
vns_rhs_array_muxed18 <= {soc_netsoc_port_cmd_payload_addr[23:10], soc_netsoc_port_cmd_payload_addr[6:0]}; vns_rhs_array_muxed18 <= {
soc_netsoc_port_cmd_payload_addr[23:10], soc_netsoc_port_cmd_payload_addr[6:0]
};
end end
endcase endcase
end end
@ -8780,7 +9140,9 @@ always @(*) begin
vns_rhs_array_muxed21 <= 21'd0; vns_rhs_array_muxed21 <= 21'd0;
case (vns_roundrobin3_grant) case (vns_roundrobin3_grant)
default: begin default: begin
vns_rhs_array_muxed21 <= {soc_netsoc_port_cmd_payload_addr[23:10], soc_netsoc_port_cmd_payload_addr[6:0]}; vns_rhs_array_muxed21 <= {
soc_netsoc_port_cmd_payload_addr[23:10], soc_netsoc_port_cmd_payload_addr[6:0]
};
end end
endcase endcase
end end
@ -8804,7 +9166,9 @@ always @(*) begin
vns_rhs_array_muxed24 <= 21'd0; vns_rhs_array_muxed24 <= 21'd0;
case (vns_roundrobin4_grant) case (vns_roundrobin4_grant)
default: begin default: begin
vns_rhs_array_muxed24 <= {soc_netsoc_port_cmd_payload_addr[23:10], soc_netsoc_port_cmd_payload_addr[6:0]}; vns_rhs_array_muxed24 <= {
soc_netsoc_port_cmd_payload_addr[23:10], soc_netsoc_port_cmd_payload_addr[6:0]
};
end end
endcase endcase
end end
@ -8828,7 +9192,9 @@ always @(*) begin
vns_rhs_array_muxed27 <= 21'd0; vns_rhs_array_muxed27 <= 21'd0;
case (vns_roundrobin5_grant) case (vns_roundrobin5_grant)
default: begin default: begin
vns_rhs_array_muxed27 <= {soc_netsoc_port_cmd_payload_addr[23:10], soc_netsoc_port_cmd_payload_addr[6:0]}; vns_rhs_array_muxed27 <= {
soc_netsoc_port_cmd_payload_addr[23:10], soc_netsoc_port_cmd_payload_addr[6:0]
};
end end
endcase endcase
end end
@ -8852,7 +9218,9 @@ always @(*) begin
vns_rhs_array_muxed30 <= 21'd0; vns_rhs_array_muxed30 <= 21'd0;
case (vns_roundrobin6_grant) case (vns_roundrobin6_grant)
default: begin default: begin
vns_rhs_array_muxed30 <= {soc_netsoc_port_cmd_payload_addr[23:10], soc_netsoc_port_cmd_payload_addr[6:0]}; vns_rhs_array_muxed30 <= {
soc_netsoc_port_cmd_payload_addr[23:10], soc_netsoc_port_cmd_payload_addr[6:0]
};
end end
endcase endcase
end end
@ -8876,7 +9244,9 @@ always @(*) begin
vns_rhs_array_muxed33 <= 21'd0; vns_rhs_array_muxed33 <= 21'd0;
case (vns_roundrobin7_grant) case (vns_roundrobin7_grant)
default: begin default: begin
vns_rhs_array_muxed33 <= {soc_netsoc_port_cmd_payload_addr[23:10], soc_netsoc_port_cmd_payload_addr[6:0]}; vns_rhs_array_muxed33 <= {
soc_netsoc_port_cmd_payload_addr[23:10], soc_netsoc_port_cmd_payload_addr[6:0]
};
end end
endcase endcase
end end
@ -10135,7 +10505,9 @@ always @(posedge sys_clk) begin
soc_a7ddrphy_dfi_p1_rddata_valid <= soc_a7ddrphy_n_rddata_en7; soc_a7ddrphy_dfi_p1_rddata_valid <= soc_a7ddrphy_n_rddata_en7;
soc_a7ddrphy_dfi_p2_rddata_valid <= soc_a7ddrphy_n_rddata_en7; soc_a7ddrphy_dfi_p2_rddata_valid <= soc_a7ddrphy_n_rddata_en7;
soc_a7ddrphy_dfi_p3_rddata_valid <= soc_a7ddrphy_n_rddata_en7; soc_a7ddrphy_dfi_p3_rddata_valid <= soc_a7ddrphy_n_rddata_en7;
soc_a7ddrphy_last_wrdata_en <= {soc_a7ddrphy_last_wrdata_en[2:0], soc_a7ddrphy_dfi_p3_wrdata_en}; soc_a7ddrphy_last_wrdata_en <= {
soc_a7ddrphy_last_wrdata_en[2:0], soc_a7ddrphy_dfi_p3_wrdata_en
};
soc_a7ddrphy_oe_dqs <= soc_a7ddrphy_oe; soc_a7ddrphy_oe_dqs <= soc_a7ddrphy_oe;
soc_a7ddrphy_oe_dq <= soc_a7ddrphy_oe; soc_a7ddrphy_oe_dq <= soc_a7ddrphy_oe;
soc_a7ddrphy_bitslip0_r <= {soc_a7ddrphy_bitslip0_i, soc_a7ddrphy_bitslip0_r[15:8]}; soc_a7ddrphy_bitslip0_r <= {soc_a7ddrphy_bitslip0_i, soc_a7ddrphy_bitslip0_r[15:8]};
@ -11856,7 +12228,9 @@ always @(posedge sys_clk) begin
end end
end end
end end
soc_netsoc_sdram_tfawcon_window <= {soc_netsoc_sdram_tfawcon_window, soc_netsoc_sdram_tfawcon_valid}; soc_netsoc_sdram_tfawcon_window <= {
soc_netsoc_sdram_tfawcon_window, soc_netsoc_sdram_tfawcon_valid
};
if ((soc_netsoc_sdram_tfawcon_count < 3'd4)) begin if ((soc_netsoc_sdram_tfawcon_count < 3'd4)) begin
if ((soc_netsoc_sdram_tfawcon_count == 2'd3)) begin if ((soc_netsoc_sdram_tfawcon_count == 2'd3)) begin
soc_netsoc_sdram_tfawcon_ready <= (~soc_netsoc_sdram_tfawcon_valid); soc_netsoc_sdram_tfawcon_ready <= (~soc_netsoc_sdram_tfawcon_valid);
@ -13327,14 +13701,10 @@ end
reg [31:0] mem_1 [0:8191]; reg [31:0] mem_1 [0:8191];
reg [12:0] memadr; reg [12:0] memadr;
always @(posedge sys_clk) begin always @(posedge sys_clk) begin
if (soc_netsoc_sram_we[0]) if (soc_netsoc_sram_we[0]) mem_1[soc_netsoc_sram_adr][7:0] <= soc_netsoc_sram_dat_w[7:0];
mem_1[soc_netsoc_sram_adr][7:0] <= soc_netsoc_sram_dat_w[7:0]; if (soc_netsoc_sram_we[1]) mem_1[soc_netsoc_sram_adr][15:8] <= soc_netsoc_sram_dat_w[15:8];
if (soc_netsoc_sram_we[1]) if (soc_netsoc_sram_we[2]) mem_1[soc_netsoc_sram_adr][23:16] <= soc_netsoc_sram_dat_w[23:16];
mem_1[soc_netsoc_sram_adr][15:8] <= soc_netsoc_sram_dat_w[15:8]; if (soc_netsoc_sram_we[3]) mem_1[soc_netsoc_sram_adr][31:24] <= soc_netsoc_sram_dat_w[31:24];
if (soc_netsoc_sram_we[2])
mem_1[soc_netsoc_sram_adr][23:16] <= soc_netsoc_sram_dat_w[23:16];
if (soc_netsoc_sram_we[3])
mem_1[soc_netsoc_sram_adr][31:24] <= soc_netsoc_sram_dat_w[31:24];
memadr <= soc_netsoc_sram_adr; memadr <= soc_netsoc_sram_adr;
end end
@ -13354,8 +13724,7 @@ always @(posedge sys_clk) begin
end end
always @(posedge sys_clk) begin always @(posedge sys_clk) begin
if (soc_netsoc_uart_tx_fifo_rdport_re) if (soc_netsoc_uart_tx_fifo_rdport_re) memdat_2 <= storage[soc_netsoc_uart_tx_fifo_rdport_adr];
memdat_2 <= storage[soc_netsoc_uart_tx_fifo_rdport_adr];
end end
assign soc_netsoc_uart_tx_fifo_wrport_dat_r = memdat_1; assign soc_netsoc_uart_tx_fifo_wrport_dat_r = memdat_1;
@ -13419,7 +13788,10 @@ PLLE2_ADV #(
); );
wire clk100_ibuf; wire clk100_ibuf;
IBUF clkbuf(.I(clk100), .O(clk100_ibuf)); IBUF clkbuf (
.I(clk100),
.O(clk100_ibuf)
);
BUFG BUFG ( BUFG BUFG (
.I(clk100_ibuf), .I(clk100_ibuf),
@ -13452,7 +13824,10 @@ BUFG BUFG_5(
); );
wire eth_ref_clk_obuf; wire eth_ref_clk_obuf;
OBUF clk_eth_buf(.I(eth_ref_clk_obuf), .O(eth_ref_clk)); OBUF clk_eth_buf (
.I(eth_ref_clk_obuf),
.O(eth_ref_clk)
);
IDELAYCTRL IDELAYCTRL ( IDELAYCTRL IDELAYCTRL (
.REFCLK(clk200_clk), .REFCLK(clk200_clk),
@ -13463,14 +13838,10 @@ IDELAYCTRL IDELAYCTRL(
reg [31:0] mem_3[0:4095]; reg [31:0] mem_3[0:4095];
reg [11:0] memadr_2; reg [11:0] memadr_2;
always @(posedge sys_clk) begin always @(posedge sys_clk) begin
if (soc_emulator_ram_we[0]) if (soc_emulator_ram_we[0]) mem_3[soc_emulator_ram_adr][7:0] <= soc_emulator_ram_dat_w[7:0];
mem_3[soc_emulator_ram_adr][7:0] <= soc_emulator_ram_dat_w[7:0]; if (soc_emulator_ram_we[1]) mem_3[soc_emulator_ram_adr][15:8] <= soc_emulator_ram_dat_w[15:8];
if (soc_emulator_ram_we[1]) if (soc_emulator_ram_we[2]) mem_3[soc_emulator_ram_adr][23:16] <= soc_emulator_ram_dat_w[23:16];
mem_3[soc_emulator_ram_adr][15:8] <= soc_emulator_ram_dat_w[15:8]; if (soc_emulator_ram_we[3]) mem_3[soc_emulator_ram_adr][31:24] <= soc_emulator_ram_dat_w[31:24];
if (soc_emulator_ram_we[2])
mem_3[soc_emulator_ram_adr][23:16] <= soc_emulator_ram_dat_w[23:16];
if (soc_emulator_ram_we[3])
mem_3[soc_emulator_ram_adr][31:24] <= soc_emulator_ram_dat_w[31:24];
memadr_2 <= soc_emulator_ram_adr; memadr_2 <= soc_emulator_ram_adr;
end end
@ -15479,8 +15850,7 @@ assign soc_netsoc_sdram_bankmachine7_cmd_buffer_lookahead_rdport_dat_r = storage
reg [23:0] tag_mem [0:511]; reg [23:0] tag_mem [0:511];
reg [ 8:0] memadr_3; reg [ 8:0] memadr_3;
always @(posedge sys_clk) begin always @(posedge sys_clk) begin
if (soc_netsoc_tag_port_we) if (soc_netsoc_tag_port_we) tag_mem[soc_netsoc_tag_port_adr] <= soc_netsoc_tag_port_dat_w;
tag_mem[soc_netsoc_tag_port_adr] <= soc_netsoc_tag_port_dat_w;
memadr_3 <= soc_netsoc_tag_port_adr; memadr_3 <= soc_netsoc_tag_port_adr;
end end
@ -15507,8 +15877,7 @@ reg [41:0] storage_11[0:63];
reg [ 5:0] memadr_4; reg [ 5:0] memadr_4;
reg [ 5:0] memadr_5; reg [ 5:0] memadr_5;
always @(posedge sys_clk) begin always @(posedge sys_clk) begin
if (soc_tx_cdc_wrport_we) if (soc_tx_cdc_wrport_we) storage_11[soc_tx_cdc_wrport_adr] <= soc_tx_cdc_wrport_dat_w;
storage_11[soc_tx_cdc_wrport_adr] <= soc_tx_cdc_wrport_dat_w;
memadr_4 <= soc_tx_cdc_wrport_adr; memadr_4 <= soc_tx_cdc_wrport_adr;
end end
@ -15523,8 +15892,7 @@ reg [41:0] storage_12[0:63];
reg [ 5:0] memadr_6; reg [ 5:0] memadr_6;
reg [ 5:0] memadr_7; reg [ 5:0] memadr_7;
always @(posedge eth_rx_clk) begin always @(posedge eth_rx_clk) begin
if (soc_rx_cdc_wrport_we) if (soc_rx_cdc_wrport_we) storage_12[soc_rx_cdc_wrport_adr] <= soc_rx_cdc_wrport_dat_w;
storage_12[soc_rx_cdc_wrport_adr] <= soc_rx_cdc_wrport_dat_w;
memadr_6 <= soc_rx_cdc_wrport_adr; memadr_6 <= soc_rx_cdc_wrport_adr;
end end
@ -15553,8 +15921,7 @@ reg [31:0] mem_4[0:381];
reg [8:0] memadr_8; reg [8:0] memadr_8;
reg [31:0] memdat_15; reg [31:0] memdat_15;
always @(posedge sys_clk) begin always @(posedge sys_clk) begin
if (soc_writer_memory0_we) if (soc_writer_memory0_we) mem_4[soc_writer_memory0_adr] <= soc_writer_memory0_dat_w;
mem_4[soc_writer_memory0_adr] <= soc_writer_memory0_dat_w;
memadr_8 <= soc_writer_memory0_adr; memadr_8 <= soc_writer_memory0_adr;
end end
@ -15569,8 +15936,7 @@ reg [31:0] mem_5[0:381];
reg [8:0] memadr_9; reg [8:0] memadr_9;
reg [31:0] memdat_16; reg [31:0] memdat_16;
always @(posedge sys_clk) begin always @(posedge sys_clk) begin
if (soc_writer_memory1_we) if (soc_writer_memory1_we) mem_5[soc_writer_memory1_adr] <= soc_writer_memory1_dat_w;
mem_5[soc_writer_memory1_adr] <= soc_writer_memory1_dat_w;
memadr_9 <= soc_writer_memory1_adr; memadr_9 <= soc_writer_memory1_adr;
end end
@ -15603,14 +15969,10 @@ always @(posedge sys_clk) begin
end end
always @(posedge sys_clk) begin always @(posedge sys_clk) begin
if (soc_sram0_we[0]) if (soc_sram0_we[0]) mem_6[soc_sram0_adr1][7:0] <= soc_sram0_dat_w[7:0];
mem_6[soc_sram0_adr1][7:0] <= soc_sram0_dat_w[7:0]; if (soc_sram0_we[1]) mem_6[soc_sram0_adr1][15:8] <= soc_sram0_dat_w[15:8];
if (soc_sram0_we[1]) if (soc_sram0_we[2]) mem_6[soc_sram0_adr1][23:16] <= soc_sram0_dat_w[23:16];
mem_6[soc_sram0_adr1][15:8] <= soc_sram0_dat_w[15:8]; if (soc_sram0_we[3]) mem_6[soc_sram0_adr1][31:24] <= soc_sram0_dat_w[31:24];
if (soc_sram0_we[2])
mem_6[soc_sram0_adr1][23:16] <= soc_sram0_dat_w[23:16];
if (soc_sram0_we[3])
mem_6[soc_sram0_adr1][31:24] <= soc_sram0_dat_w[31:24];
memadr_11 <= soc_sram0_adr1; memadr_11 <= soc_sram0_adr1;
end end
@ -15625,14 +15987,10 @@ always @(posedge sys_clk) begin
end end
always @(posedge sys_clk) begin always @(posedge sys_clk) begin
if (soc_sram1_we[0]) if (soc_sram1_we[0]) mem_7[soc_sram1_adr1][7:0] <= soc_sram1_dat_w[7:0];
mem_7[soc_sram1_adr1][7:0] <= soc_sram1_dat_w[7:0]; if (soc_sram1_we[1]) mem_7[soc_sram1_adr1][15:8] <= soc_sram1_dat_w[15:8];
if (soc_sram1_we[1]) if (soc_sram1_we[2]) mem_7[soc_sram1_adr1][23:16] <= soc_sram1_dat_w[23:16];
mem_7[soc_sram1_adr1][15:8] <= soc_sram1_dat_w[15:8]; if (soc_sram1_we[3]) mem_7[soc_sram1_adr1][31:24] <= soc_sram1_dat_w[31:24];
if (soc_sram1_we[2])
mem_7[soc_sram1_adr1][23:16] <= soc_sram1_dat_w[23:16];
if (soc_sram1_we[3])
mem_7[soc_sram1_adr1][31:24] <= soc_sram1_dat_w[31:24];
memadr_13 <= soc_sram1_adr1; memadr_13 <= soc_sram1_adr1;
end end

View File

@ -28,7 +28,10 @@ module top (
); );
wire clk_bufg; wire clk_bufg;
BUFG bufg (.I(clk), .O(clk_bufg)); BUFG bufg (
.I(clk),
.O(clk_bufg)
);
reg [5:0] reset_cnt = 0; reg [5:0] reset_cnt = 0;
wire resetn = &reset_cnt; wire resetn = &reset_cnt;

View File

@ -28,7 +28,10 @@ module top (
); );
wire clk_bufg; wire clk_bufg;
BUFG bufg (.I(clk), .O(clk_bufg)); BUFG bufg (
.I(clk),
.O(clk_bufg)
);
reg [5:0] reset_cnt = 0; reg [5:0] reset_cnt = 0;
wire resetn = &reset_cnt; wire resetn = &reset_cnt;

View File

@ -255,4 +255,3 @@ module picosoc_mem #(
if (wen[3]) mem[addr][31:24] <= wdata[31:24]; if (wen[3]) mem[addr][31:24] <= wdata[31:24];
end end
endmodule endmodule

View File

@ -1,6 +1,5 @@
module progmem module progmem (
(
// Closk & reset // Closk & reset
input wire clk, input wire clk,
input wire rstn, input wire rstn,
@ -788,8 +787,7 @@ initial begin
end end
always @(posedge clk) always @(posedge clk) mem_data <= mem[mem_addr];
mem_data <= mem[mem_addr];
// ============================================================================ // ============================================================================

View File

@ -72,12 +72,10 @@ module simpleuart (
recv_buf_valid <= 0; recv_buf_valid <= 0;
end else begin end else begin
recv_divcnt <= recv_divcnt + 1; recv_divcnt <= recv_divcnt + 1;
if (reg_dat_re) if (reg_dat_re) recv_buf_valid <= 0;
recv_buf_valid <= 0;
case (recv_state) case (recv_state)
0: begin 0: begin
if (!ser_rx) if (!ser_rx) recv_state <= 1;
recv_state <= 1;
recv_divcnt <= 0; recv_divcnt <= 0;
end end
1: begin 1: begin
@ -107,8 +105,7 @@ module simpleuart (
assign ser_tx = send_pattern[0]; assign ser_tx = send_pattern[0];
always @(posedge clk) begin always @(posedge clk) begin
if (reg_div_we) if (reg_div_we) send_dummy <= 1;
send_dummy <= 1;
send_divcnt <= send_divcnt + 1; send_divcnt <= send_divcnt + 1;
if (!resetn) begin if (!resetn) begin
send_pattern <= ~0; send_pattern <= ~0;
@ -121,13 +118,11 @@ module simpleuart (
send_bitcnt <= 15; send_bitcnt <= 15;
send_divcnt <= 0; send_divcnt <= 0;
send_dummy <= 0; send_dummy <= 0;
end else end else if (reg_dat_we && !send_bitcnt) begin
if (reg_dat_we && !send_bitcnt) begin
send_pattern <= {1'b1, reg_dat_di[7:0], 1'b0}; send_pattern <= {1'b1, reg_dat_di[7:0], 1'b0};
send_bitcnt <= 10; send_bitcnt <= 10;
send_divcnt <= 0; send_divcnt <= 0;
end else end else if (send_divcnt > cfg_divider && send_bitcnt) begin
if (send_divcnt > cfg_divider && send_bitcnt) begin
send_pattern <= {1'b1, send_pattern[9:1]}; send_pattern <= {1'b1, send_pattern[9:1]};
send_bitcnt <= send_bitcnt - 1; send_bitcnt <= send_bitcnt - 1;
send_divcnt <= 0; send_divcnt <= 0;