Example designs showing different ways to use F4PGA toolchains.
Go to file
Unai Martinez-Corral 5be4da1af3 readme: convert from rst to md
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-05-31 12:42:09 +02:00
.github install f4pga through pip and use envvars to support deprecated wrappers 2022-05-24 19:11:01 +02:00
common Update common.mk 2022-05-19 01:22:44 +02:00
docs docs/building-examples: defining F4PGA_ENV_* envvars explicitly is not required anymore (chipsalliance/f4pga#566) 2022-05-26 16:10:45 +02:00
eos-s3 Pinned quicklogic-fasm version used in CI 2021-09-08 14:28:05 +02:00
projf-makefiles/hello/hello-arty Raname to F4PGA 2022-02-18 18:15:44 +01:00
scripts/make Raname to F4PGA 2022-02-18 18:15:44 +01:00
third_party xc7: lite sata add third party code for VexRiscV and improve docs 2021-11-30 13:41:25 +01:00
xc7 docs: s/INSTALL_DIR/F4PGA_INSTALL_DIR/ 2022-05-26 16:05:59 +02:00
.gitattributes update gitattributes 2020-06-09 22:16:32 +02:00
.gitignore changes after initial review 2021-05-13 12:07:04 -06:00
.gitmodules xc7: lite sata add third party code for VexRiscV and improve docs 2021-11-30 13:41:25 +01:00
.readthedocs.yml readthedocs: do not fail on warnings 2022-03-15 12:25:43 +01:00
.style.yapf changes after initial review 2021-05-13 12:07:04 -06:00
LICENSE Relicense to Apache-2.0 2022-02-02 19:41:06 +01:00
Makefile Raname to F4PGA 2022-02-18 18:15:44 +01:00
README.md readme: convert from rst to md 2022-05-31 12:42:09 +02:00

README.md

F4PGA examples

'Doc' workflow status

This repository provides example FPGA designs that can be built using the F4PGA open source toolchain. These examples target the Xilinx 7-Series and the QuickLogic EOS S3 devices.

Please refer to the project documentation for a proper guide on how to run these examples as well as instructions on how to build and compile your own HDL designs using the F4PGA toolchain.

The repository includes:

  • xc7/ and eos-s3/ - Examples for Xilinx 7-Series and EOS-S3 devices, including:

    • Verilog code

    • Pin constraints files

    • Timing constraints files

    • Makefiles for running the F4PGA toolchain

  • docs/ - Guide on how to get started with F4PGA and build provided examples

  • .github/ - Directory with CI configuration and scripts

The examples provided in this repository are automatically built and tested in CI by extracting necessary code snippets with tuttest.