Example designs showing different ways to use F4PGA toolchains.
Go to file
Tim 'mithro' Ansell 7b623cdfdf Remove the extra examples directory.
Signed-off-by: Tim 'mithro' Ansell <me@mith.ro>
2020-07-29 12:26:38 -07:00
eos-s3 Remove the extra examples directory. 2020-07-29 12:26:38 -07:00
xc7 Remove the extra examples directory. 2020-07-29 12:26:38 -07:00
.gitattributes update gitattributes 2020-06-09 22:16:32 +02:00
.gitignore Added gitignore 2020-05-26 19:43:20 +02:00
.travis.yml add QL build to tuttest 2020-06-10 00:48:52 +02:00
LICENSE Add LICENSE 2020-04-21 14:58:08 +02:00
README.md Remove the extra examples directory. 2020-07-29 12:26:38 -07:00

README.md

SymbiFlow examples

This repository provides example FPGA designs that can be built using the SymbiFlow open source toolchain. The examples target the Xilinx Artix-7 and the QuickLogic EOS S3 devices.

The repository includes:

  • eos-s3 - Example FPGA designs for the QuickLogic EOS S3 series of parts:

    • Verilog code
    • Pin constraints files
    • Timing constraints files
    • Makefiles for running the SymbiFlow toolchain
  • xc7 - Example FPGA designs for the Xilinx 7 series of parts:

    • Verilog code
    • Pin constraints files
    • Timing constraints files
    • Makefiles for running the SymbiFlow toolchain
  • .travis.yml - Travis CI configuration file

Toolchain installation

This section describes how to install the toolchain. This procedure is divided in two steps:

  • Installing the Conda package manager
  • Downloading the architecture definitions and installing the toolchain
  1. Conda
wget https://repo.continuum.io/miniconda/Miniconda3-latest-Linux-x86_64.sh -O conda_installer.sh
  1. Toolchain

For the Artix-7 devices:

INSTALL_DIR="/opt/symbiflow/xc7"
bash conda_installer.sh -b -p $INSTALL_DIR/conda && rm conda_installer.sh
source "$INSTALL_DIR/conda/etc/profile.d/conda.sh"
conda env create -f xc7/environment.yml
conda activate xc7
wget -qO- https://storage.googleapis.com/symbiflow-arch-defs/artifacts/prod/foss-fpga-tools/symbiflow-arch-defs/presubmit/install/477/20200714-082108/symbiflow-arch-defs-install-8eb88e76.tar.xz | tar -xJ --one-top-level=$INSTALL_DIR/install
conda deactivate

For the EOS S3 devices:

export INSTALL_DIR="/opt/symbiflow/eos-s3"
wget 'https://github.com/QuickLogic-Corp/quicklogic-fpga-toolchain/releases/download/v1.1.0/Symbiflow_v1.1.0.gz.run'
bash Symbiflow_v1.1.0.gz.run

Build Example Designs

With the toolchain installed, you can build the example designs. The example designs are provided in separate directories:

  • xc7 directory for the Artix-7 devices
  • eos-s3 directory for the EOS S3 devices

Example designs for the Artix-7 devices:

  1. counter - simple 4-bit counter driving LEDs. The design targets the Basys3 board and the Arty board.
  2. picosoc - picorv32 based SoC. The design targets the Basys3 board.
  3. linux_litex - LiteX based system with Linux capable VexRiscv core. The design includes DDR and Ethernet controllers. The design targets the Arty board.

The Linux images for the linux_litex example can be built following the linux on litex vexriscv instructions. The linux_litex example is already provided with working Linux images.

To build the examples, run the following commands:

export INSTALL_DIR="/opt/symbiflow/xc7"
# adding symbiflow toolchain binaries to PATH
export PATH="$INSTALL_DIR/install/bin:$PATH"
source "$INSTALL_DIR/conda/etc/profile.d/conda.sh"
conda activate xc7
git clone https://github.com/SymbiFlow/symbiflow-examples && cd symbiflow-examples
# counter example
pushd xc7/counter_test && TARGET="arty_50" make && popd
pushd xc7/counter_test && TARGET="arty_100" make && popd
pushd xc7/counter_test && make clean && TARGET="basys3" make && popd
# picosoc example
pushd xc7/picosoc_demo && make && popd
# litex example
wget https://raw.githubusercontent.com/enjoy-digital/litex/master/litex_setup.py
chmod +x litex_setup.py
./litex_setup.py init
./litex_setup.py install
wget https://static.dev.sifive.com/dev-tools/riscv64-unknown-elf-gcc-8.1.0-2019.01.0-x86_64-linux-ubuntu14.tar.gz
tar -xf riscv64-unknown-elf-gcc-8.1.0-2019.01.0-x86_64-linux-ubuntu14.tar.gz
export PATH=$PATH:$PWD/riscv64-unknown-elf-gcc-8.1.0-2019.01.0-x86_64-linux-ubuntu14/bin/
pushd litex/litex/boards/targets && ./arty.py --toolchain symbiflow --cpu-type vexriscv --build && popd
# linux litex example
pushd xc7/linux_litex_demo && make && popd

Example design for the EOS S3 devices:

  1. btn_counter - simple 4-bit counter driving LEDs. The design targets the EOS S3 FPGA.

To build the example, run the following commands:

export INSTALL_DIR="/opt/symbiflow/eos-s3"
export PATH="$INSTALL_DIR/install/bin:$INSTALL_DIR/install/bin/python:$PATH"
source "$INSTALL_DIR/conda/etc/profile.d/conda.sh"
conda activate

git clone https://github.com/SymbiFlow/symbiflow-examples && cd symbiflow-examples
pushd eos-s3 && make && popd