f4pga-examples/xc7
Tomasz Michalak a27aac5ac5 xc7/environment: Update yosys and yosys-plugins packages 2023-04-07 13:48:13 +02:00
..
additional_examples xc7: use openFPGALoader instead of openocd 2022-07-05 10:00:08 +02:00
counter_test xc7/counter_test: f4pga -vv build 2022-08-18 22:22:31 +02:00
linux_litex_demo xc7/linux_litex_demo/README: do not use extlink 2022-03-15 12:25:05 +01:00
litex_demo xc7: use openFPGALoader instead of openocd 2022-07-05 10:00:08 +02:00
litex_sata_demo litex_sata_demo/readme: avoid tables, lists or roles until the jinja template is fixed 2022-12-16 11:39:07 +01:00
picosoc_demo added symplified download instructions 2021-11-11 13:47:47 -07:00
pulse_width_led fixed minor issues 2021-11-11 13:50:34 -07:00
timer Raname to F4PGA 2022-02-18 18:15:44 +01:00
README.rst Raname to F4PGA 2022-02-18 18:15:44 +01:00
environment.yml xc7/environment: Update yosys and yosys-plugins packages 2023-04-07 13:48:13 +02:00
requirements.txt common: add requirements.txt 2022-08-01 14:47:43 +02:00

README.rst

F4PGA Toolchain Examples for Xilinx 7 Series
============================================

#. ``counter`` - simple 4-bit counter driving LEDs. The design targets the `Basys3 board <https://store.digilentinc.com/basys-3-artix-7-fpga-trainer-board-recommended-for-introductory-users/>`__, the `Arty boards <https://store.digilentinc.com/arty-a7-artix-7-fpga-development-board-for-makers-and-hobbyists/>`__, and the `Zybo Z7 board <https://store.digilentinc.com/zybo-z7-zynq-7000-arm-fpga-soc-development-board/>`__
#. ``picosoc`` - `picorv32 <https://github.com/cliffordwolf/picorv32>`__ based SoC. The design targets the `Basys3 board <https://store.digilentinc.com/basys-3-artix-7-fpga-trainer-board-recommended-for-introductory-users/>`__.
#. ``litex`` - Series of `LiteX-based <https://github.com/enjoy-digital/litex>`__ designs, that feature different CPU types and LiteX modules.
#. ``linux_litex`` - `LiteX <https://github.com/enjoy-digital/litex>`__ based system with Linux capable `VexRiscv core <https://github.com/SpinalHDL/VexRiscv>`__. The design includes `DDR <https://github.com/enjoy-digital/litedram>`__ and `Ethernet <https://github.com/enjoy-digital/liteeth>`__ controllers. The design targets the `Arty boards <https://store.digilentinc.com/arty-a7-artix-7-fpga-development-board-for-makers-and-hobbyists/>`__.

The Linux images for the ``linux_litex`` example can be built following the `linux on litex vexriscv <https://github.com/litex-hub/linux-on-litex-vexriscv>`__ instructions.
The ``linux_litex`` example is already provided with working Linux images.

The detailed description about building the examples is available in the
`project documentation <https://f4pga-examples.readthedocs.io/en/latest/building-examples.html#xilinx-7-series>`__.