Example designs showing different ways to use F4PGA toolchains.
Go to file
Alessandro Comodi e18e544825 xc7: add lite SATA example
Signed-off-by: Alessandro Comodi <acomodi@antmicro.com>
2021-11-30 13:40:41 +01:00
.github Merge pull request #207 from WhiteNinjaZ/sub_project-f 2021-11-07 11:28:33 -08:00
common common: remove trailing spaces 2021-11-17 13:29:22 +01:00
docs update symbiflow packages 2021-11-17 13:31:59 +01:00
eos-s3 Pinned quicklogic-fasm version used in CI 2021-09-08 14:28:05 +02:00
projf-makefiles/hello/hello-arty Fixed makefiles to include common.mk 2021-11-16 08:52:36 -07:00
scripts/make Change README Markdown format to reStructuredText 2020-09-09 13:25:50 +02:00
third_party Put projf in third_party and fixed makefiles 2021-10-12 21:56:47 -06:00
xc7 xc7: add lite SATA example 2021-11-30 13:40:41 +01:00
.gitattributes update gitattributes 2020-06-09 22:16:32 +02:00
.gitignore changes after initial review 2021-05-13 12:07:04 -06:00
.gitmodules Put projf in third_party and fixed makefiles 2021-10-12 21:56:47 -06:00
.readthedocs.yml Add license headers to docs and CI scripts 2020-12-14 14:45:55 +01:00
.style.yapf changes after initial review 2021-05-13 12:07:04 -06:00
LICENSE Add LICENSE 2020-04-21 14:58:08 +02:00
Makefile current temporary fix for environments 2021-05-13 12:07:40 -06:00
README.rst Changed some formatting, made naming consistent, and clarified info on SystemVerilog 2021-06-30 09:21:21 -06:00

README.rst

SymbiFlow examples
==================

Please refer to the `project documentation <https://symbiflow-examples.readthedocs.io>`_ 
for a proper guide on how to run these examples as well as instructions on how to build and 
compile your own HDL designs using the symbiflow toolchain. 

.. image:: https://github.com/symbiflow/symbiflow-examples/workflows/doc-test/badge.svg?branch=master
   :target: https://github.com/SymbiFlow/symbiflow-examples/actions

.. image:: https://readthedocs.org/projects/symbiflow-examples/badge/?version=latest
   :target: https://symbiflow-examples.readthedocs.io/en/latest/?badge=latest
   :alt: Documentation Status

This repository provides example FPGA designs that can be built using the
SymbiFlow open source toolchain. These examples target the Xilinx 7-Series and
the QuickLogic EOS S3 devices.

The repository includes:

* `xc7/ <./xc7>`_ and `eos-s3/ <./eos-s3>`_ - Examples for Xilinx 7-Series and EOS-S3 devices, including:

  * Verilog code
  * Pin constraints files
  * Timing constraints files
  * Makefiles for running the SymbiFlow toolchain
* `docs/ <./docs>`_ - Guide on how to get started with SymbiFlow and build provided examples
* `.github/ <./.github>`_ - Directory with CI configuration and scripts

The examples provided by this repository are automatically built by extracting 
necessary code snippets with `tuttest <https://github.com/antmicro/tuttest>`_.

Building those docs
-------------------

To build Sphinx documentation, you need at least Python 3.6. You will also need
to install Sphinx v3.3.0 and additional dependencies, which you can get with
``pip``::

   pip install -r docs/requirements.txt

Next, just run::

   make -C docs html

The output will be found in the ``docs/_build/html`` directory.

Running "CI" locally
--------------------

The CI uses a bunch of scripts in the `.github/scripts/ <./.github/scripts>`_
directory to execute the needed tests. You can use the same scripts locally
to test without having to wait for the online CIs to pass if you
want to quickly test stuff.

For this, you will need `tuttest <https://github.com/antmicro/tuttest/>`_,
which you can install with::

    pip install git+https://github.com/antmicro/tuttest

* ``<fpga-family>`` is one of ``{eos-s3, xc7}`` (the two currently
  covered platforms - EOS-S3 and Xilinx series 7).
* ``<os>`` is one of ``{ubuntu, debian, centos}`` (currently supported operating systems).

To install the toolchain (just the first time), run::

   .github/scripts/install-toolchain.sh <fpga-family> <os> | bash -c "$(cat /dev/stdin)"

To build all the examples locally, just run::

   .github/scripts/build-examples.sh <fpga-family>  | bash -c "$(cat /dev/stdin)"