Example designs showing different ways to use F4PGA toolchains.
Go to file
Unai Martinez-Corral e289fd517c docs/customizing-makefiles: add label
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-06 14:49:18 +02:00
.github docs: do not use 'install' subdir; bump f4pga and arch-defs 2022-08-04 06:44:38 +02:00
common docs: do not use 'install' subdir; bump f4pga and arch-defs 2022-08-04 06:44:38 +02:00
docs docs/customizing-makefiles: add label 2022-08-06 14:49:18 +02:00
eos-s3 eos-s3/btn_counter/Makefile: add missing jlink dump 2022-08-04 07:29:46 +02:00
projf-makefiles/hello/hello-arty Raname to F4PGA 2022-02-18 18:15:44 +01:00
scripts/make Raname to F4PGA 2022-02-18 18:15:44 +01:00
third_party xc7: lite sata add third party code for VexRiscV and improve docs 2021-11-30 13:41:25 +01:00
xc7 common: add requirements.txt 2022-08-01 14:47:43 +02:00
.gitattributes update gitattributes 2020-06-09 22:16:32 +02:00
.gitignore changes after initial review 2021-05-13 12:07:04 -06:00
.gitmodules xc7: lite sata add third party code for VexRiscV and improve docs 2021-11-30 13:41:25 +01:00
.readthedocs.yml readthedocs: do not fail on warnings 2022-03-15 12:25:43 +01:00
.style.yapf changes after initial review 2021-05-13 12:07:04 -06:00
LICENSE Relicense to Apache-2.0 2022-02-02 19:41:06 +01:00
Makefile Raname to F4PGA 2022-02-18 18:15:44 +01:00
README.md readme: update shields 2022-05-31 12:58:21 +02:00

README.md

F4PGA examples

'Doc' workflow status

This repository provides example FPGA designs that can be built using the F4PGA open source toolchain. These examples target the Xilinx 7-Series and the QuickLogic EOS S3 devices.

  • Please refer to the for a proper guide on how to run these examples, as well as instructions on how to build and compile your own HDL designs using the F4PGA toolchain.
  • See to contribute on the development of architecture support in F4PGA.

The repository includes:

  • xc7/ and eos-s3/ - Examples for Xilinx 7-Series and EOS-S3 devices, including:

    • Verilog code

    • Pin constraints files

    • Timing constraints files

    • Makefiles for running the F4PGA toolchain

  • docs/ - Guide on how to get started with F4PGA and build provided examples

  • .github/ - Directory with CI configuration and scripts

The examples provided in this repository are automatically built and tested in CI by extracting necessary code snippets with tuttest.