f4pga/.github/xc7_test.json

26 lines
534 B
JSON

{
"default_part": "XC7A35TCSG324-1",
"values": {
"top": "top"
},
"dependencies": {
"sources": [
"counter_test/counter.v"
],
"synth_log": "synth.log",
"pack_log": "pack.log"
},
"XC7A35TCSG324-1": {
"default_target": "bitstream",
"dependencies": {
"build_dir": "build",
"xdc": [
"counter_test/arty.xdc"
]
},
"values": {
"part": "xc7a35tcpg236-1"
}
}
}