f4pga/source/images
Robert Winkler c73f9c8c96 Add SymbiFlow toolchain description
Describe the synthesis and place and route process.
Add short description of Yosys synthesis tool.

Signed-off-by: Robert Winkler <rwinkler@internships.antmicro.com>
2019-09-25 21:50:21 +02:00
..
EDA.svg Add more information about SymbiFlow to introduction 2019-09-19 09:38:40 +02:00
parts.svg Add more information about SymbiFlow to introduction 2019-09-19 09:38:40 +02:00
toolchain-flow.svg Add SymbiFlow toolchain description 2019-09-25 21:50:21 +02:00