trenz_tec0117: Add clock constraints.

This commit is contained in:
Florent Kermarrec 2021-07-15 11:07:05 +02:00
parent 6648b2f907
commit a74b6e83f7
1 changed files with 5 additions and 0 deletions

View File

@ -89,3 +89,8 @@ class Platform(GowinPlatform):
def create_programmer(self):
return OpenFPGALoader("littlebee")
def do_finalize(self, fragment):
GowinPlatform.do_finalize(self, fragment)
self.add_period_constraint(self.lookup_request("clk12", loose=True), 1e9/12e6)
self.add_period_constraint(self.lookup_request("clk100", loose=True), 1e9/100e6)