build/efinix/efinity: build_timing_constraints: set_false_path is unidirectional -> add another one to -> from_

This commit is contained in:
Gwenhael Goavec-Merou 2023-08-31 11:42:07 +02:00
parent a071cc343d
commit 3f43481eb9
1 changed files with 2 additions and 0 deletions

View File

@ -79,6 +79,8 @@ class EfinityToolchain(GenericToolchain):
for from_, to in sorted(self.false_paths, key=lambda x: (x[0].duid, x[1].duid)): for from_, to in sorted(self.false_paths, key=lambda x: (x[0].duid, x[1].duid)):
tpl = "set_false_path -from [get_clocks {{{from_}}}] -to [get_clocks {{{to}}}]" tpl = "set_false_path -from [get_clocks {{{from_}}}] -to [get_clocks {{{to}}}]"
sdc.append(tpl.format(from_=self._vns.get_name(from_), to=self._vns.get_name(to))) sdc.append(tpl.format(from_=self._vns.get_name(from_), to=self._vns.get_name(to)))
tpl = "set_false_path -from [get_clocks {{{to}}}] -to [get_clocks {{{from_}}}]"
sdc.append(tpl.format(to=self._vns.get_name(to), from_=self._vns.get_name(from_)))
# Add additional commands # Add additional commands
sdc += self.additional_sdc_commands sdc += self.additional_sdc_commands