xilinx/vivado: Fix issue with #1755.

This commit is contained in:
Florent Kermarrec 2023-08-31 16:38:50 +02:00
parent ad924a522a
commit 41357aba7d
1 changed files with 1 additions and 2 deletions

View File

@ -170,9 +170,8 @@ class XilinxVivadoToolchain(GenericToolchain):
True : "ports",
}[hasattr(clk, "port")]
for clk, [period, name] in sorted(self.clocks.items(), key=lambda x: x[0].duid):
clk_sig = self._vns.get_name(clk)
if name is None:
name = clk_sig
name = clk
self.platform.add_platform_command(
"create_clock -name {name} -period " + str(period) +
" [get_" + get_clk_type(clk) + " {clk}]", name=name, clk=clk)